buck电路十篇

发布时间:2024-04-24 23:42:20

buck电路篇1

关键词:matlab;降压斩波电路;电压脉动;计算机仿真

中图分类号:tm743文献标识码:a

文章编号:1004-373X(2008)24-031-03

ResearchoftheBuckChopperBasedonmatlab

JianGChunling,wanGChunling

(taishanUniversity,tai′an,271021,China)

abstract:thisisacomplexprobleminselectingandcalculatingtheparameter,whendesigningthepowerelectroniccircuit.Byusingthepowersystemstoolboxinmatlab,userscanquicklyfindoutandanalyzetheresultsfromsimulation,don′tneedknowthemathematicsmodelandprogramming.thispaperdiscussesthereasonofvoltagerippleinbuckchopperoutput,setsupthesystemmodelinmatlab,andmodifiestheparameterbyanalysingthesimulationresult,intheend,itconfirmstheoptimumparametermodel.matlabistheidealtoolusedfortheresearchandapplicationofpowerelectronicsasanewstyleandhigh-poweredlanguage.

Keywords:matlab;buckchopper;voltageripple;computersimulation

1引言

随着计算机技术的发展,计算机软件为普通科研人员进行电力系统仿真奠定了坚实的基础。mathworks公司推出的基于matlab平台的Simulink是动态系统仿真领域中广为应用的仿真集成工具之一,它在各个领域得到广泛的应用。Simulink提供了大量的功能模块,其中的电力系统工具箱是专门为电力电子电路仿真设计的。在此正是利用matlab对Buck电路进行研究和分析。

2Buck电路分析

降压斩波电路(BuckChopper)是直流斩波电路中应用最为广泛的一种电路形式。Buck电路用于降低直流电源的电压,其原理电路如图1。假设设计一个输入e=200V,输出Uo=100V,R=2Ω的电路。

基于图1构建电路,但是在实际测试时发现电路输出电压的脉动较大,图2为L=0.1mH,脉冲频率f=10kHz时的输出电压波形。因此,如何合理选择参数、减小输出脉动,是研究和设计Buck电路的关键。

图1Buck电路

图2无电容时输出负载电压波形

图1工作过程为在开关器件Vt导通时有电流流经电感L向负载供电,假定在这期间Uo不变,电感电流按直线规律从i1上升到i2,则有:

e-Uo=Li2-i1ton(1)

ton=(i2-i1)Le-Uo(2)

在开关器件Vt关断时,电感L释放能量,维持负载电流。假定这期间电感电流按直线规律从i2下降到i1,则有:

Uo=Li2-i1toff(3)

toff=(i2-i1)LUo(4)

将ton=Dt和toff=(1-D)t(其中D为占空比)代式(1),(3),可得到输出电压Uo=De,看到输出电压仅与占空比和输入电压有关。但实际上,电感中的电流是有变化的,将t=1f=ton+toff代入式(2),(4),可计算其变化量:

Δi=eD(1-D)fL

由此可知,正是由于电感电流的脉动引起了输出电压的脉动,为了减小输出电压脉动,可以采取增大电感L或者提高频率f的方法。而增大电感就要增大电感的体积,因此应该合理的选择电感值,提高斩波频率是一种行之有效的方法。另外,如果在负载两端并联一电容,使得ΔiL=ΔiC,则可以使负载电流脉动减小,从而稳定输出电压。

在实际电路的设计中,电感L、电容和脉冲频率f值的确定比较困难。而利用matlab中的Simulink建立仿真模型,可以很方便地修改参数,直至达到设计要求。

3建模与分析

在Simulink中建立Buck电路仿真模型如图3所示,在模型中设置参数输入电压e=200V,R=2Ω,取电感L=0.1mH,电容C=100μF,脉冲频率f=10kHz,为了得到输出电压Uo=100V,应选取占空比D=50%。设置仿真时间为0.02s,算法采用ode15s。启动仿真,得到输出电压波形如图4所示。

图3Buck电路仿真模型

由图4(b)可看出,在二极管导通瞬间其端电压出现了尖峰,这是由于二极管导通瞬间电感的di/dt作用。

(1)改变电感对输出电压的影响

取电感L=1mH,脉冲频率f=10kHz,运行后得到输出电压波形如图5所示。与图4(d)对比,增大电感可以减小输出电压的脉动,但也会使输出电压平均值减小。

图4f=10kHz时各信号波形

图5当L=1mH时输出电压波形

(2)改变电容对输出电压的影响

对比图2和图4,可以看出负载端并联电容后,输出电压的脉动大大减小,因此实用的Buck电路在负载两端要并联滤波电容。

(3)改变脉冲频率对输出电压的影响

取脉冲频率f分别为5kHz,20kHz,仿真运行后得到输出电压波形如图6所示。

图6不同脉冲频率时的输出电压波形

对比图6和图4(d)可看出,f=5kHz时输出电压脉动明显增大;f=20kHz时输出电压脉动小,但输出电压平均值略有下降,说明开关频率高,器件的开关损耗增大,同时在电感上的感抗增大。因此在提高斩波频率的同时,应该考虑到开关损耗对电路的影响。

通过对不同参数下电路运行后输出电压的分析,最后确定参数选择斩波频率为10kHz,电感L的值为0.1mH,电容C的值为100μF。利用matlab构建仿真模型来设计电力电子电路,修改电路结构和参数方便,观察设计的效果更直观,并且避免了在实际电路实验过程中可能出现的器件损坏等问题。

在仿真电路中还可以加入傅里叶分析模块,可以观测输出电压直流分量,并通过傅里叶变换分析输出电压的谐波成分。通过运行可以看到本电路输出电压的各次谐波都很小。

4结语

系统建模和仿真技术已经日益成为现工科各专业进行科学探索、系统可行性研究和工程设计不可缺少的重要环节。建模、仿真能力成为现代工程技术人员需具备的基本技能和交流工具。利用仿真软件matlab对降压斩波电路进行了研究和设计,利用该方法还能对非常复杂的电路、电力电子变流系统、电力拖动自动控制系统进行建模仿真。系统的建模和实际系统的设计过程非常的相似,用户不用进行编程,也无需推导电路、系统的数学模型,就可以很快地得到系统的仿真结果,通过对仿真结果分析就可以将系统结构进行改进或将有关参数进行修改使系统达到要求的结果和性能,这样就可以极大地加快系统的分析或设计过程。

参考文献

[1]王兆安,黄俊.电力电子技术[m].4版.西安:西安电子科技大学出版社,2006.

[2]赵良炳.现代电力电子技术基础[m].北京:清华大学出版社,2002.

[3]洪乃刚.电力电子和电力拖动控制系统的matlab仿真[m].北京:机械工业出版社,2006.

[4]沈辉.精通Simulink系统仿真与控制[m].北京:北京大学出版社,2003.

[5]李传琦.电力电子技术计算机仿真实验[m].北京:电子工业出版社,2006.

[6]黄文梅,杨勇,熊桂林.系统分析与仿真matlab语言及应用[m].长沙:国防科技大学出版社,1999.

[7]薛定宇.反馈控制系统设计与分析matlab语言应用[m].北京:清华大学出版社,2000.

[8]刘文良,王杰.matlab在电力电子技术仿真中的应用[J].电气自动化,2001,23(3):53-54.

[9]邓国扬,盛义发.基于matlab/Simulink的电力电子系统的建模与仿真[J].南华大学学报,2003(1):1-6.

[10]刘文良.matlab在电力电子技术仿真中的应用[J].电气自动化,2001,21(3):53-54.

[11]张森,张正.matlab仿真技术与实例应用教程[m].北京:机械工业出版社,2004.

buck电路篇2

关键词:Buck变换器;非线性系统;相平面法;微分过程;相平面坐标文献标识码:a

中图分类号:tm133文章编号:1009-2374(2016)20-0062-02Doi:10.13535/ki.11-4406/n.2016.20.030

1概述

相对于传统的线性电源,开关电源具有转换效率高、输入电压范围大、输出电压稳定等优点,广泛应用于工业控制、电力系统等领域。开关电源的拓扑形式多种多样,其中Buck变换器是最为简单且具代表的一种,已应用于光伏并网、LeD和电池充电等领域。虽然Buck变换器应用广泛,但其分析过程大部分是基于微分方程的,分析过程欠直观,难于工程应用。

相平面法是分析非线性系统的一种常用方法,通过相平面图直观清晰地反映系统的动态过程及性能,其计算简单、概念清晰,非常适合于工程应用。

本文利用相平面法对同步Buck变换器的动态过程进行分析,选取具体的物理量为相平面坐标轴,通过相平面得到Buck变换器中变量的变化趋势,为后续的分析设计提供依据。

2同步Buck变换器模型

Buck变换器的原理图如下:

当开关S1、S2处于不同状态时,该电路有不同的动态特性,该系统属于切换系统,其数学模型如下:

当S1闭合、S2断开时,Buck变换器的状态空间模型

如下:

当S1断开、S2闭合时,Buck变换器的状态空间模型如下:

式(1)、式(2)中:L表示电感感量;C表示输出电容容量;R表示负载电阻阻值;iL表示电感电流;vi表示输入电压;vo表示输出电压。

当电路正常工作时,以上两种状态不停切换,其整体呈现出来的动态特性就是以上两种特性的叠加。

3Buck变换器的相平面模型及其动态分析

若对同步Buck变换器直接套用传统的相平面法,则获得输出电压及其导数构成的相平面,与实际物理量不存在直接对应的关系。为了获得物理意义明确的相平面图,这里选择实际物理量作为相平面坐标,使相平面更加直观清晰。对上述的同步Buck模型,选取vo为横坐标、iL为纵坐标,则相平面中的曲线斜率如下:

当S1闭合、S2断开时,相平面中的斜率为:

当S1断开、S2闭合时,相平面中的斜率为:

根据以上式(3)和式(4),对vi=12V、L=5uH、C=22uF,R=1Ω的同步Buck变换器进行计算,得到以下相平面曲线:

当S1闭合、S2断开时,vo和iL会沿着图2中“o-”的方向顺时针变化,其运动轨迹如图3实线所示;当S1断开、S2闭合时,vo和iL会沿着图2中“*-”的方向顺时针变化,其运动轨迹如图3虚线所示。

对同步Buck变换器:

式中:Δvo代表上述两点间的vo的差;代表两点间的平均电压;两点间的平均电流。

对vi=12V、L=5uH、C=22uF、R=1Ω,频率为500kHz,占空比为50%的同步Buck变换器,若采取恒定占空比启机,根据式(7)及图3,得出其变化曲线如下:

图4反映了同步Buck变换器启动的过程。由于占空比为50%,因此输出电压稳定值为6V。vo从0V变化到8V,然后下降到6V,iL从0a上升到14a,然后回落到6a。

根据以上相平面图,可以直观地分析出vo和iL的变化过程,确定vo和iL的变化范围和变化趋势,为方案设计和元器件选择提供依据。

4结语

本文利用相平面法对Buck变换器进行分析,选取电流和电压作为相平面坐标,分析其电流和电压的变化过程,计算简单、概念清晰,可以直观地反映电流和电压的变化过程。除了Buck变换器外,该方法还可以推广到boost、Buck-boost等二阶变换器。

参考文献

[1]嵇保健,洪峰,赵剑锋.一种不隔离三电平双Buck光伏并网逆变器[J].中国电机工程学报,2012,32(12).

[2]阎铁生,许建平,曹太强,等.基于二次型BuckpFC变换器的无频闪无变压器LeD驱动电源[J].电工技术学报,2015,30(12).

[3]m.G.Kim.proportional-integralCompensatorDesignofDuty-Cycle-ControlledBuckLeDDriver[J].ieeetransactionsonpowerelectronics,2015,30(7).

[4]Ying-ChunChuang.High-efficiencyZCSBuckConverterforRechargeableBatteries[J].ieeetransactionsonindustrialelectronics,2010,57(7).

[5]L.Shi,B.p.Baddipadiga,m.Ferdowsi,m.L.Crow.improvingtheDynamicResponseofaFlying-Capacitorthree-LevelBuckConverter[J].ieeetransactionsonpowerelectronics,2013,28(5).

buck电路篇3

关键词:能源路由器;Buck-Buck型换流器;直流配电网

前言

伴随电网的用电负荷迅猛增加,原先的配电网系统将面对越来越多的各种问题。例如,分布式发电及储能技术发展迅速,如何将这些新兴电源有效地接入传统的交流电网?城市发展对电源建设和线路走廊的制约越来越多,导致负荷中心的无功电源更为不足;直流负荷或直流环节的负载日益增多,亟待发展更为有效的供电模式以满足负荷的快速增长。随着电力电子技术发展日趋成熟,重新探索直流方式配电的效果,可为当前日益发展的电网提供一条解决配网问题的新思路[1-2]。

直流配电网是以直流为主导的电能配送系统,具有解决现代配电系统面临挑战的潜力。直流配电的主要技术特征:(1)直流配电更为可靠:线路故障率较交流系统更低,可单极运行,响应快、恢复时间短;(2)直流配电效率更高:线路损耗低于交流配电,可直接为日益增多的直流负载提供电能;(3)可提高电能质量;(4)易于实现分布式发电互联[3-4]。

直流配电在提供高效电能转换与控制的同时,由于并列运行换流器的数量大、种类数量大,会面对可靠的性能、安全的性能等方面的种种问题,以及直流电力电子装置的体积、重量、维护和运行费用等问题。因此,考虑到目前直流配电存在的问题,需要一种新的直流配电网以解决信息可靠收集、能量有效流动等问题。

1系统设计

图1为文章提出的一种基于能源路由器的直流配电网结构示意图,该配电网包括能源路由器单元、至少一个信息收集单元、至少一个能量信息转换单元、至少一个交流母线单元、至少一个aC/DC模块单元、至少一个交流负荷单元、至少一个光伏发电装置单元、至少一个储能装置单元、至少一个风力发电装置单元、至少一个电动汽车充电装置单元;其中,能源路由器单元的信息流信号和控制信息流信号基于Z-wave无线通信技术进行传输,aC/DC模块单元包括一组双Buck型换流器和一个工频逆变桥。

2系统各模块构成及作用

能源路由器单元:与交流电网、信息收集1装置、信息收集2装置、信息收集3装置、信息收集4单元、能量信息转换1单元、能量信息转换2单元、能量信息转换3单元、能量信息转换4单元连接;

交流母线单元:与交流电网、交流负荷1装置、交流负荷2装置、aC/DC模块1装置、aC/DC模块2装置、aC/DC模块3装置、aC/DC模块4装置相连;

aC/DC模块1单元:与交流母线单元、光伏发电装置单元连接;

aC/DC模块2单元:与交流母线单元、储能装置单元连接;

aC/DC模块3单元:与交流母线单元、风力发电装置单元连接;

aC/DC模块4单元:与交流母线单元、电动汽车充电装置单元连接;

光伏发电装置单元:与aC/DC模块1单元、信息收集1单元连接;

储能装置单元:与aC/DC模块2单元、信息收集2单元连接;

风力发电装置单元:与aC/DC模块3单元、信息收集3单元连接;

电动汽车充电装置单元:与aC/DC模块4单元、信息收集4单元连接;

信息收集1单元:与光伏发电装置单元、能量信息转换1单元连接;

信息收集2单元:与储能装置单元、能量信息转换2单元连接;

信息收集3单元:与风力发电装置单元、能量信息转换3单元连接;

信息收集4单元:与电动汽车充电装置单元、能量信息转换4单元连接。

3Buck-Buck型换流器拓扑设计

图2为文章配电网系统所采用Buck-Buck型换流器拓扑示意图[4]。图2中C表示电容,D表示二极管,S表示开关,t表示绝缘栅双极型晶体管,R表示电阻,L表示电感;t1、t2、t3、t4、t5及t6共同组成iGBt的aBC桥型电路。图2中Sa、Sb、Sc为两向开关,其频率为50Hz的2倍左右。S1、S2一般运行在较高的频率,S1、L1、D1及S2、L2、D2综合起来组成最终的Buck-Buck型换流器。基于具有逆变作用的桥型电路,以及频率高于50Hz数倍的两向开关,将拓扑结构分开为两个Buck-Buck换流器,从而实现了实际电路电流的有效控制。4Z-wave无线传输技术

Z-wave是由丹麦公司Zensys一手主导的无线组网规格,该技术的参数如下表所示。不同于ZigBee能同时运用于医疗、安全等多种领域。ZigBee是建置于ieee802.15.4上的协议,应用层面较广。

该系统构建于射频技术、成本小、功耗小、可靠性能优良、适于网络的无线通信Z-wave技术实现信息流、控制信息流的有效传输,可将光伏发电装置、储能装置等的实时能量信息快速、可靠地传送至能源路由器,从而有助于实现直流配电网间以及内部的能量流进行实时监控和信息传输,有助于实现多个分布式能源网间的能量进行有效交换。

5结束语

与现有直流配电网的系统相比,文章提出的直流配电网具有以下优势:(1)该系统提供的基于Z-wave的信息交互单元是一种无

线组网规格,基于射频的、低成本、低功耗、高可靠、适于网络的短距离无线通信技术实现信息的交互,可靠性大为提升;(2)该系统可使实时信息得以高效传递,使电网中的能量高质量地进行流动,使系统的成本得以大幅降低;(3)通过Z-wave无线技术实现直流配电

网间以及内部的能量流进行实时监控和信息传输,可及时反馈能量流状态,控制电力电子装置进行相应的能量转换,实现多个分布式能源网间的能量进行有效交换。

参考文献

[1]杜翼,江道灼,尹瑞,等.直流配电网拓扑结构及控制策略[J].电力自动化设备,2015(1):139-145.

[2]徐通,王育飞,张宇,等.直流配电网发展现状与应用前景分析[J].华东电力,2014(6):1069-1074.

buck电路篇4

关键词:BUCK;混沌;参数分岔;时间分岔

中图分类号:tp391文献标识码:a文章编号:1009-3044(2012)10-2429-03

time-basedBirfurationanalysisofCiucuitSimulation

ZHanGRong,GanBin-bin,ZHoUYu-fei

(electronicsandinformationengineeringCollege,anhuiUniversity,Hefei230039,China)

abstract:ByconstructingacircuitmodelofBuckconvertercoupledwithweakdisturbancesingalmethod,throughmathematicalanalysisandtransformation,aintermittentphenomenaintimemethodsofbifurcationanalysisofsimulationanddemonstration.alsoshownthatthismethodcansignificantlyreducetraditionalparameterbifurcationanalysisofthecircuitsimulationmodeloftherequiredsimulationtimeandnumber,soforfuturetime-domainsimulationofbifurcationprovidesareliablebasis.

Keywords:Buck;chaos;parameterbifurcation;timebifurcation

DC-DC变换器是开关电源的核心部分和主要研究对象,已有的研究表明其中可以产生各种非线性现象,如间歇现象[1]。以前此类现象作为一种时间分岔,需要通过转化为参数分岔来分析[2],但是传统的参数分岔分析方法需要通过模拟多种大量参数来分析电路性能从而寻找到电路运行的最优参数,需要消耗大量的时间。

该文将从电路耦合滤波的角度考虑,构造一个电压控制反馈型BUCK开关变换器模型作为研究对象,通过在BUCK变换器的反馈参考电压上叠加一个微弱正弦周期信号来模拟在电路设计和应用中的干扰源,同时在BUCK变换器中出现的间歇现象基础上分析和比较时间分岔和参数分岔的两种电路仿真分析方法在研究BUCK变换器中干扰源的幅度、频率和电路参数变化在该种电路中所产生的现象的优劣。通过对各个参数的matLaB仿真证明时间分岔的电路仿真分析方法在电路参数寻优与参数分岔具有一致性并且时间分岔电路仿真分析方法具有更高的效率。

1微扰作用下的Buck变换器电路模型

寄生有干扰信号的电压模式控制BUCK变换器如图1(a)所示,其主电路拓扑分别包含一个电感、电容、开关、二极管和负载电阻。对应着两种工作状态:当开关G合上时,电感电流呈现近乎线性的上升;当开关G断开时,电感电流通过二极管和负载电阻耗能而下降。在电压模式控制方式下,输出电压Vo与参考电压Vref、扰动信号vs和的误差将经过放大器放大而得到一个控制电压信号所得控制电压信号Vcont(t)与锯齿波Vramp进行比较,两信号输出的差值即为开关管G的pwm控制信号u,这其中锯齿波定义为[4]

Vramp=VL+(VU

-VL)(

当VcontVramp时,开关断开[5];如图1(b)所示。由于BUCK变换器是LC二阶时变系统,于是变换器的状态方程可列为

x?={aonx+Bone

aoffx+Boffe其中x代表状态变量,即x=[vo,iL]t,a和B是系统矩阵[7]

aon=aoff=é

取电路参数为:

L=20mH,C=47uF,R=22Ω,f0=2500Hz,e=24V,Vref=11V,a=8.4V,VL=3.8V,VU

2仿真分析论证

耦合干扰信号法是一种非反馈控制法,通过在参考电压上耦合一个特定频率、幅度和相位的干扰信号来模拟BUCK变换器在应用和设计中的寄生干扰,从而模拟在干扰信号下系统的动力学行为。当开关功率变换器模型中的开关频率和寄生扰动信号的频率相同时,变换器的运行状态不会随时间而变化,在瞬态过程结束后,变换器将稳定在一个固定的状态上。但当变换器的开关频率与扰动信号的频率不同时,一类间歇现象就会有可能发生,且间歇周期与这个频率之差的绝对值成反比[1][6][9]。该文所取的寄生干扰信号为与开关频率同频的正弦周期信号

vs=kVrefsin(2πfst+θ)

(5)

其中k代表扰动强度,fs为扰动信号频率,θ为扰动信号相位。将扰动信号的强度k由0逐步增加,考察变换器的动态行为变化过程.同时我们用归一化的方法,可以将θ写为

θ=2π(f0-fs)t

(6)其中f0是开关频率,fs是扰动信号频率[10]。那么式(5)可以改为

vs=kVrefsin2πf0t

(7)

现在我们分别从不同的方面证明在研究BUCK电路的间歇现象时时间分岔电路仿真分析和参数分岔电路仿真分析的一致性。

2.1考察在相同扰动信号强度下的时间分岔和参数分岔上电感电流的差值

如图2(a)和(b)是在扰动信号强度k=0.0034、L=20mH、e=24V时该BUCK电路的以时间t和参数θ为变量的的电感电流分岔图,在两种图上我们同时看到有变换器产生从周期1、2、4到混沌的过渡。图3是电路时间域分岔经式(6)转换后和参数θ分岔的电感电流的差值的绝对值的比较图,其中在θ=5之前差值基本为0,在5到2π之间最大差值不超过0.001,在有效误差范围内

2.2考察在相同的电感L下电路时间分岔和参数分岔电感电流发生分岔时的相位值分布

正与图2(a)和(b)所示,在某个扰动信号下,分别随时间和相位的变化,电感电流会发生分岔。包括从周期1到周期2、周期2到周期4和周期4到混沌等的过渡,我们分别将在相同电感值时电路时间分岔分析和参数分岔分析上电感电流发生分岔时过渡点的分布进行比较。如图4所示‘.’代表在参数分岔上电感电流发生分岔过渡时的相位值,‘^’代表在时间分岔上电感电流发生分岔过渡时的相位值。由图所示在相同电感值下,‘.’和‘^’所代表的相位值基本重合,说明在电路时间分岔和参数分岔仿真分析上电感电流发生分岔时的相位值基本相同。

2.3考察在相同的输入电压e下电路时间分岔和参数分岔电感电流值发生分岔时的相位值分布

我们将电路的电感、电容取为某个固定值分别在不同的输入电压e下对电路进行时间和参数分岔仿真,同时比较在相同输入电压下电路时间分岔和参数分岔上电感电流发生分岔过渡时的相位值,如上述3.2一样,‘.’代表在参数分岔上电感电流发生分岔过渡时的相位值,‘^’代表在时间分岔上电感电流发生分岔过渡时的相位值。仿真分析比较结果如图5所示,亦如3.2所述‘.’和‘*’所代表的相位值基本重合,说明在电路时间分岔和参数分岔仿真分析上电感电流发生分岔时的相位值基本相同。

从时间分岔和参数分岔的电感电流值比较以及在不同电感和电流时两种仿真分析下电感电流分岔过渡点的分布比较可知电路时间分岔和参数分岔在电路参数寻优电路系统分析上具有一致性。

3时间分岔和参数分岔性能比较

参数分岔的仿真分析方法是每次取一个参数,将其代入到电路中进行一次仿真。一个参数的仿真时间需要根据电路的复杂程度决定。总的时间是一次参数的仿真时间乘以步长。时间分岔的仿真分析方法理论上只需要一个周期的时间就能完全反映出电路的性能特征。只需要进行一次电路仿真分析。

4结论

该文通过在BUCK变换器中加入寄生干扰信号出发研究了功率电子电路中普遍存在的间歇现象。将参数对变换器的影响和在时间域下变换器的动态行为统一了起来。试验仿真的结果证明了时间域分岔和参数分岔在反应电路动态行为上具有一致性,证明了在时间域下研究变换器甚至功率电子电路的动态行为是完全可行的,并且我们在实际的仿真分析中,只需要将电路仿真一次就可以得到变换器的动态行为,而不像参数分析那样需要多次反复的输入参数,经过多次电路的仿真来求得变换器的动态行为的研究数据,从而为我们研究电子电路的行为提供了一种高效可靠的方法。

参考文献:

[1]YufeiZhou,Jun-ningplexintermittencyinswitchingconverters[J].int.J.BifurcationandChaos,2008,18(1):121-140.

[2]Bernardomdi,VascaF.Discrete-timemapsfortheanalysisofbifurcationsandchaosindc/dcconverters[J].ieeetrans.Circ.Syst.-i,2000,47(2):130-143.

[3]周宇飞.参数共振微扰法在Boost变换器混沌控制中的实现及其优化[J].物理学报,2004,53(11):3676-3683.

[4]黄玮,张化光.一类多维连续线性系统的混沌反控制[J].东北大学学报:自然科学版,2004,25(8):727-720.

[5]关新平,范正平,张群亮,等.连续时间稳定线性系统的混沌反控制研究[J].物理学报,2002,51(10):2216-2220.

[6]王宝华,王永成,杨成语.基于非线性反馈方法的连续时间稳定线性系统的混沌反控制[J].电机与控制学报,2004,8(2):124-126.

[7]周宇飞,陈军宁.开关功率变换器的间歇现象―理论分析[J].电子学报,2004,32(2):269-273.

[8]吴振军,胡智宏,崔光照.基于混沌反控制降低Buck型变换器emi及纹波研究[J].系统仿真学报,2008,20(4):993-996.

buck电路篇5

【关键词】键盘控制;单片机;BUCKDC-DC变换器;tL494

一、设计思路

本设计要求设计并制作直流变换为直流的稳定电源。在输入为13~16V条件下,通过tL494转换电路输出稳定可调电压作为稳压源、稳流源的电源。通过键盘预设一个电压值,经tLV5618转换为模拟信号送入稳压电路。通过tLC2543读取输出的模拟电压、电流信号送给单片机处理,再将数字显示在液晶中。

考虑到本次设计是比较小的手工制作电路,控制芯片采用tL494芯片、显示方式选择采用lcd12864显示、控制模块采用以mSp430f149为核心的单片机系统来控制12位tLV5618数据的输入和12位tLC2543数据的输出,在将其转换成的模拟量或数字量输出的同时单片机把输入输出的值送液晶显示。

二、设计实现

tpS5430将电压降为5V,为各器件提供电源,Da芯片tLV5618使用两路通道,一路为电流设定值,一路为电压设定值,即可通过单片机实现电压、电流的步进调整。开关电源由tL494和buck降压斩波电路组成,实现稳定电压、电流的输出。aD芯片是tLC2543是高速12位模数转换器,将电压电流信号返回给单片机,便于在液晶上显示。

1.BUCK降压斩波电路的电路工作原理

BUCK降压斩波电路的工作原理如图1所示。V的栅射电压波形,在t=0时刻驱动V导通,电源e向负载供电,负载电压=e,负载电流按指数曲线上升。当t=时刻,控制V关断,负载电流经二极管VD续流,负载电压近似为零,负载电流呈指数曲线下降。为了使负载电流连续且脉动小,通常串接L值很大的电感。至一个周期t结束,再驱动V导通,重复上一周期的过程。当电路工作与稳态时,负载电流在一个周期的初值和终值相等。

负载电压的平均值为:

式中,为V处于通态的时间;为V处于断态的时间;t为开关周期;为导通占空比。由此式知,输出到负载的电压平均值最大为e,若减小占空比,则随之减小。因此将该电路称为降压斩波电路。本次设计电路采用pwm方式控制moSFet的通断。

2.tL494内置电路

tL494是一种电压控制模式的pwm控制和驱动集成电路芯片,由于它具有两路相位相差180°的pwm驱动信号输出,因此被广泛的应用与单端式(正极式和反极式)和双端式(半桥式、全桥式和推挽式)开关稳压电源电路,总体结构比同类集成电路SG3524更完善。tL494内部电路框图如图2所示,内部有两组完全相同的误差放大器,其同相输入端和反相输入端均被引出芯片外,因此可以自由设定其基准电压,以方便用于稳压取样,或用其中一种作为过压、过流的超阈值保护。本实验只使用一组误差放大器,另一组被屏蔽掉,即1引脚连接反馈信号,2脚连接电压电流的设定值。

图1BUCK电路工作原理

图2tL494内部电路框图

3.tL494构成的pwm控制器电路

pwm控制器电路其核心采用专用集成芯片tL494,通过适当的外接电路,不但可以产生pwm信号输出,而且还有多种保护功能。tL494含有振荡器,误差放大器,pwm比较器及输出级电路等部分。oSC振荡频率由外接元件R,C决定,表达式为:

foSC可选定1KHz~200KHz之间,本电路选用foSC=110KHz。

4.电路设计总图

本设计采用单片机mSp430F149作为系统的控制核心,制作了一个可稳定输出电流或电压的直流稳定电源。设计可分为四个模块:BUCKDC/DC变换器模块、键盘模块、显示模块和系统控制模块。本设计可实现电压、电流预置,液晶显示输出电压和电流,输出可步进(加、减)调节,恒压和恒流电路切换等功能。电路设计总图如图3所示。

本设计可实现电压、电流预置,液晶显示输出电压和电流,过流电压电流,输出可步进(加、减)调节,恒压和恒流电路切换等功能。此外,D/a可将数据反馈一次对误差进行校正,另外电路具有过热和过流保护功能,当电路出现故障时可自动恢复。该设计的特点是可对电压和电流采用步进控制,可用数字显示输出电压和电流,各项调整率的指标都很高。

参考文献

[1]技工学校机械类通用教材编审委员会编.电工工艺学[m].北京:机械工业出版社,2004.

[2]黄智伟.全国大学生电子设计竞赛训练教程[m].北京:电子工业出版社,2005.

[3]余锡存,曹国华.单片机原理及接口技术[m].西安:西安电子科技大学出版社,2000.

[4]刘高R.单片机实用技术[m].北京:清华大学出版社,2004.

[5]何希才.新型电子电路应用实例[m].北京:科技出版社,2005.

作者简介:

buck电路篇6

【关键词】直流变换器状态空间平均法模型优化

一、引言

输入输出不共地三电平Buck直流变换器相对于二电平直流变换器虽具有以下优点:开关管、续流二极管的电压应力减半,滤波电感,滤波电容大大减小,但其拓扑结构决定的输入输出不共地情况,使其应用范围受到限制。而改进型的三电平Buck直流变换器不但能保留上述优点,还能使其输入输出共地。如果能对其准确地建模,无疑是对其分析设计起到关键性作用。本文将对改进型的三电平Buck直流变换器进行建模研究,为直流变换器的设计和分析作一定的基础工作。其方法也可以类推其它类型开关变换器。

二、改进型的三电平Buck直流变换器的工作原理与建模

(一)电路拓扑及工作模态

其工作电路图如图1,和的驱动信号相差。为负载。为分析方便,先作几点假设:、、、均为理想元件;电感、电容也为理想元件;足够大以保证输出电压中的纹波与输出电压的比值小到允许忽略。

(二)建模分析

DC-DC变换器的建模方法较多,这里采用状态空间平均法。因为这种方法是平均法的一阶近似,其物理概念清楚,可利用线性电路和古典控制理论对DC-DC变换器进行稳态和小信号分析。在建模之前作三点假设:1交流小信号的频率应远远小于开关频率(低频假设);2变换器的转折频率远远小于开关频率(小纹波假设);3电路中各变量的交流分量的幅值远远小于相应的直流分量(小信号假设)。在实际的DC-DC变换器中,开关频率较高,很易满足以上三点假设条件。在三点假设的前提条件下,忽略开关频率及其边频带,开关频率谐波与其边带,引入开关周期平均算子

是变换器中某电量,这里指、和、,为开关周期,。对电量进行开关周期平均运算后,占空比可划分为两个区域:和。下面就以为例,来用状态空间平均法建立电感电流连续模式下的数学模型。其、的驱动信号图如图3。下面对一个开关周期分析其工作过程。

在时,开关管、导通,二极管、截止,电压源给电感充磁。电路工作在模态1,该模态在整个工作周期内运行时间为,其中为开关周期,,两端的电压为,电容为,得到如下状态方程:

在时,开关管关断,仍旧导通,导通,截止,放电,电感释放磁场能,电路工作在模态2,该模态在整个工作周期内运行时间为,汇总后进而可求得从输入到输出的传递函数为:

对的建模分析和的分析类似。

(三)实验结果

已知直流变换器的传递函数,按照自动控制原理的相关知识,可以设计出相应的调节器。为保证闭环系统有一定的相位裕量和增益裕量,采用有源的超前滞后补偿网络对系统进行校正。其阶跃响应曲线为图4,a、B两点电压曲线为图5。

四、结论

本文在分析了CCm(电流连续模式continuouscurrentmode)模式下改进型tLBuck变换器的工作原理后,利用状态空间平均法建立了其数学模型,并按照其数学模型组建了闭环控制系统,实验结果表明其数学模型具有一定的合理性。此方法对直流开关电源的设计具有一定的指导意义。

参考文献:

[1]薛雅丽.Buck三电平直流变换器的研究[m].南京航空航天大学硕士学位论文,2003

[2]张卫平.开关变换器的建模与控制[m].中国电力出版社,2006

[3]徐德鸿.电力电子系统建模及控制[m].机械工业出版社,2006

buck电路篇7

关键词:超级电容;双向DC/DC变换器;数学模型;闭环控制

中图分类号:tn65?34;tn86文献标识码:a文章编号:1004?373X(2016)20?0108?03

abstract:abidirectionalDC/DCconverterbasedonsupercapacitorisproposedinthispaper.accordingtothefundamentalprincipleofDC/DCconverter,thestatespaceaveragemethodisusedtoestablishthemathematicalmodeloftheconverterundertheassumptionofsmallsignal.accordingtotheworkingprincipleofbidirectionalenergytransferofthebidirectionalconverter,theeffectiveandreasonablecontrolstrategywasdeveloped.abidirectionalDC/DCconverterwasbuiltwiththesupercapacitormoduleinthematlab/Simulinkdatabasetoachievesimulation.thesimulationandexperimentalresultsshowthatthesystemcantransfertheenergybi?directionally,whichverifyitscorrectnessandrationality.

Keywords:supercapacitor;bidirectionalDC/DCconverter;mathematicalmodel;closed?loopcontrol

双向DC/DC变换器已广泛应用于各种领域,比如卫星的太阳板、电力系统的储能[1?2]。近年来,随着超级电容的广泛应用,带有双向直流变换器的超级电容储能系统能够对短时能量冲击起到缓冲作用。通过双向DC/DC变换器可以在短时间内使负载所产生的瞬时功率被超级电容吸收,并在负载需要瞬时功率时给负载提供瞬时功率,从而满足了节能环保的要求。目前,日本、美国、瑞士、俄罗斯等国家都在加紧超级电容的开发,并研究超级电容在电动车驱动和制动系统中的应用,而我国超级电容的生产和应用还处于起步阶段。在电动教练车混合直流源系统中,蓄电池作为主电源直接与直流母线相连,超级电容作为辅助电源通过双向DC/DC变换器与直流母线相连[3?4]。对比分析双向半桥Buck/Boost、双向Sepic、双向Cuk、双向t型Buck?Boost四种拓扑结构,得到在升压模式下,双向半桥Buck/Boost的导通损耗远小于其他三种双向拓扑,降压模式下,损耗只大于双向t型Buck?Boost[5?6]。本文利用状态空间平均法得到变换器的小信号数学模型的方法,建立了双向半桥Buck/Boost变换器的数学模型[7?9],推导出变换器的控制传递函数,设计了电流闭环反馈控制系统,通过matlab/Simulink仿真验证了上述方法的正确性和有效性,实现了输出稳定电流、优良的动态性能等功能。

1系统的结构和数学模型的建立

1.1双向DC/DC变换器拓扑结构选择

考虑到超级电容储能体积及成本,其端电压一般低于电机驱动逆变器的高效工作电压。双向变换器在正向工作时具有升压斩波能力,将储能模块端电压升至逆变器高效工作的母线电压范围,以优化电机的驱动;在电机处于再生发电状态时,通过降压电路将制动回馈能量转换为电能储存在超级电容中[10?12]。通过对比多种双向DC/DC变换器拓扑结构,选择双向半桥式Buck/Boost变换器,如图1所示。变换器正向工作时,G1作为pwm开关管工作,G2截止,超级电容C1、储能电感L、开关管G1、二极管D2及滤波电容C2组成Boost电路;反向工作时,G2作为pwm开关管工作,G1截止,C2,G2,D1,L及C1组成Buck电路[13]。

2控制策略

根据实际的应用要求,电机在频繁的起动、加速、减速、制动过程中,母线电流不停的宽范围变化,在双向DC/DC变换器中电流的方向也不停的变化,针对这种工作在不同方向电流的Buck/Boost变换器来说,传递函数有很大的不同,稳定而精确的控制相对困难[14?15]。针对上述问题的特殊要求,结合上述建立的双向DC/DC变换器数学模型,考虑电流闭环控制策略,既增强了系统的稳定性,又提高了系统的动态性能。在数字信号控制系统中,采集母线电流,电流给定信号(100a)与电流采集值比较得到电流误差信号,经piD调节器得到占空比,最终生成pwm控制信号,从而达到精确跟踪给定电流的目的。当电机起动、加速时,双向DC/DC变换器工作在Boost状态,电机需要电源提供130a的大电流,通过控制变换器使超级电容输出100a电流,而动力电池组提供剩余电流,piD控制模块的传递函数为:

3仿真结果

在设计好控制器之后,需要验证控制器设计的合理性,验证能否实现双向DC/DC能量传递,采用matlab/Simulink仿真,输入电压[16](超级电容侧)48V,输出电压72V,占空比33%(放电)、67%(充电),开关频率fs=50kHz。仿真波形如图4、图5所示,图4为双向DC/DC工作在Boost模式输出端电流波形,母线电流稳定在100a,纹波为5%。图5为Buck模式时输入端电流波形,母线电流稳定在反向的100a。由波形图可知与理论分析一致。

4结语

根据电动教练车的特殊要求,本文应用了一种电动教练车上的复合电源双向DC/DC变换器。根据采用状态空间平均法,建立了Boost和Buck两种模式电路的数学模型,分析了其控制策略,并通过matlab/Simulink仿真,输出了稳定的母线电流。

参考文献

[1]张国驹,唐西胜,齐智平.超级电容器与蓄电池混合储能系统在微网中的应用[J].电力系统自动化,2010(12):85?89.

[2]丁明,林根德,陈自年,等.一种适用于混合储能系统的控制策略[J].中国电机工程学报,2012(7):1?6.

[3]张晓峰,吕征宇.混合动力车用全数字电流控制型双向DC/DC变换器[J].电工技术学报,2009(8):84?89.

[4]荣德生,代雨晴,赵君君,等.基于R?S?t控制的复合电源双向DC/DC变换器设计[J].电力系统保护与控制,2015(7):132?137.

[5]王军峰.混合动力客车双向DC/DC变换器的研究与实现[D].哈尔滨:哈尔滨工业大学,2013.

[6]李圆晨.混合动力客车大功率双向DC/DC变换器研究[D].哈尔滨:哈尔滨工业大学,2011.

[7]武琳,张燕枝,李子欣,等.一种隔离式双向全桥DC/DC变换器的控制策略[J].电机与控制学报,2012(12):21?27.

[8]张逸成,吴璐璐,胡晓军,等.带阻容负载的能量存储系统建模与稳定性分析[J].系统仿真学报,2010(3):733?737.

[9]孙华忠.一种双向隔离DC/DC变换器的建模与控制方法研究[J].电源世界,2010(12):21?23.

[10]王鹏,王晗,张建文,等.超级电容储能系统在风电系统低电压穿越中的设计及应用[J].中国电机工程学报,2014(10):1528?1537.

[11]曹秉刚,曹建波,李军伟,等.超级电容在电动车中的应用研究[J].西安交通大学学报,2008(11):1317?1322.

[12]高巧云,崔学深,张健,等.超级电容蓄电池混合储能直流系统工作特性研究[J].现代电力,2013(6):27?31.

[13]范鑫.混合动力客车大功率双向DC/DC变换器的研究[D].哈尔滨:哈尔滨工业大学,2009.

[14]周明.电动车用移相pwm控制的双向DC/DC变换器研究[D].长沙:中南大学,2009.

buck电路篇8

为提高船舶混合动力系统中双向DC/DC变换器的性能,通过分析混合动力系统工作模式,设计出船舶混合动力系统双向DC/DC变换器仿真模型.基于此,提出该变换器模糊piD控制方法.采用单个模糊piD补偿环节实现了BiBuck/BoostDC/DC变换器的稳定输出.仿真结果表明:模糊piD控制能有效提高系统抗干扰能力,保证双向DC/DC变换器具有良好的动态性能和稳态性能.

关键词:

混合动力船舶;双向DC/DC;模糊piD

中图分类号:U665.13

文献标志码:a收稿日期:20150825修回日期:20151113

0引言

与传统的机械推进系统相比,电力推进系统具有更好的经济性、操纵性和安全性,且它的噪音低,并有利于船舶控制环境污染.[12]然而,受到船舶对设备质量和体积的限制以及新能源存储技术的影响,与传统的柴油机推进系统相比,现阶段多数纯电动船舶还未能

满足人们对船舶性能的需求.因此,研究混合动力电动船舶可为船舶从柴油发电机组单独供电过渡到纯电动供电提供可行性方案.

双向DC/DC变换器在混合动力系统中起着重要作用,是船舶混合动力系统的关键设备之一.[34]图1是串联式船舶混合动力系统结构原理,双向DC/DC变换器连接在动力电池与直流母线之间,控制动力电池能量的流向与大小.由动力电池供电时,动力电池通过DC/DC变换器向直流母线传递电能;当能量回流时,直流母线将剩余电能回馈给动力电池进行充电.可见,双向DC/DC变换器是混合动力能量控制系统的核心部件,变换器输出电能应具有良好的稳定性和动态性.

DC/DC变换器是一种采用开关方式控制的直流稳压电源.近年来发展起来的模糊控制是一种仿人智能控制法,它不依赖被控对象的数学模型,便于利用人的经验知识进行控制.将模糊控制技术引入DC/DC变换器是目前研究的热点.文献[5]和[6]将模糊piD控制运用到Buck变换器中,获得了良好的稳态响应和动态响应.文献[7]设计了一种简单的模糊piD控制器,并进行了扰动实验,结果表明模糊piD控制器具有良好的抗干扰性能.文献[8]和[9]运用数字信号处理器(DigitalSignalprocessor,DSp)实现了Buck变换器的模糊piD控制,同样得到了较好的实验结果.文献[10]运用模糊控制实现了Boost变换器的输出稳定,证明了模糊控制对Boost变换器有良好的控制效果.模糊控制对一些复杂的和难以用准确的数学模型描述的系统是非常适宜的,特别是对无法确定的复杂对象具有较好的控制性能.在船舶混合动力系统中,动力电池不断变换充放电模式,要求能量双向流动,这需要结构简单、输出稳定的双向DC/DC变换器.本文基于这一要求提出双向DC/DC变换器的模糊piD控制,保证双向DC/DC变换器两端输出电能的稳定性和抗扰性.

1混合动力双向DC/DC变换器模型分析

1.1变换器拓扑分析

图2为船舶混合动力系统中双向DC/DC变换器的主电路拓扑,其

中V1,V2分别代表直流母线和动力电池的端电压,通过混合动力能量管理策略选择动力电池充放电模式.动力电池放电时,变换器处于Boost模式,变换器须维持稳定的电压输出,但由于受动力电池电量的影响,动力电池放电电压随着时间下降,同时受需求功率的影响,负载电阻时刻变化,这对输出电压稳定性提出了挑战.当动力电池充电时,变换器处于Buck模式,保持输出电压在可靠范围内同样重要.

1.2控制器结构

双向DC/DC变换器要同时兼顾动力电池可随时充、放电的要求,这对混合动力系统能量控制策略是否可实现至关重要.传统的DC/DC变换器控制方法是通过调节pi控制器开关器件的通断时间,达到调节输出电压目的的,但由于DC/DC变换器的非线性特点,往往达不到预期的控制效果.模糊piD控制可动态修正控制器参数,提高系统抗干扰性能,因此本文选择电压反馈模糊piD控制器.如图3所示:将双向DC/DC变换器稳压端输出电压与参考值的误差量e作为电压反馈模糊piD控制器的输入;d是对误差e求导,得到的误差变化率de/dt作为模糊piD控制器的另一个输入.本文先由变换器参数设计出单piD控制器,在此基础上按照控制要求设计通用模糊控制规则.模糊控制器的输出与piD控制器的输出相乘后生成新的控制量,控制量再与频率为50kHz的锯齿波比较产生pwm波,进而控制DC/DC变换器的iGBt.这里K4和K5是输入比例系数,调节K4和K5能使输入量在合理的控制区间内;K6是输出比例系数,反复调节K6使模糊控制器有更好的输出与piD控制器输出相结合,从而保证变换器输出的稳定性和动态性.

1.3piD控制器设计

当动力电池对外供电时,DC/DC变换器处于Boost模式;当直流母线对动力电池充电时,DC/DC变换器处于Buck模式.设图2中iGBt1的占空比为D,引入拉氏符号s,则变换器的Buck模式小信号模型[11]为

同样,变换器的Boost模式小信号模型为

在双端稳压情况下,要求piD控制器能对两个方向的DC/DC变换器进行稳定调节.文献[12]通过对DC/DC变换器模型进行分析,设计出单个piD控制器对双向DC/DC变换器进行稳定调节,并证明此法可行.设系统参数为V1=100V,V2=48V,R1=20Ω,R2=5Ω,参考电压Vref为5V.根据电流连续时电感及电容取值条件[7],设计电感L=50μH,电容C1=C2=100μF.

式(3)为反馈分压的传递函数表达式,由该式得到Buck模式和Boost模式的反馈分压比分别为

对Buck模式和Boost模式下DC/DC变换器的传递函数同时进行piD的设计,最后配置piD控制器的传递函数

1.4模糊控制器设计

船舶混合动力能量管理系统可对变换器发出充电和放电指令,当变换器接收指令并发生切换或有

外在干扰时往往会产生电压或电流尖峰,这无论对变换器、动力电池还是供电母线都是不利的.模糊piD控制器使变换器在Buck模式和Boost模式下得到良好的动态响应和稳态性能的同时,抑制尖电压或电流尖峰.模糊规则如表1所示,模糊控制器有两个输入量,e和de/dt.对e和de/dt定义5个语言值,分别为nB(负大)、nS(负小)、Ze(不变)、pS(正小)、pB(正大).设计一个输出变量U,定义5个语言值,分别为DiVB(除大)、DiV(除)、nU(不变)、mUL(乘)、mULB(乘大).

再确定输入和输出的隶属度函数,见图5.这里选择三角形隶属度函数,解模糊化的方法为重心法.e和de/dt分别经输入比例系数K4和K5作用后作为模糊控制器的输入,模糊控制器的输出经过输出比例系数K6作用后与piD控制器输出结合,与锯齿波比较产生pwm波.可见,选取合适的输入输出隶

属度函数后,只需调节输入和输出比例系数,使模糊控制器有更好的输出与piD控制器输出结合,就能保证变换器输出的稳定性和动态性.

1.5仿真模型建立

利用船舶能量管理系统对供电系统进行综合性分析,确立能量调度、管理原则和实现方法.[13]能量管理系统的实现对DC/DC变换器提出了简单、可控、高效的要求.通过船舶混合动力能量管理系统对DC/DC变换器的需求分析,提出基于单个模糊piD控制器的实现双端稳压的DC/DC变换器控制器.根据图2拓扑结构,运用matLaB/Simulink设计出船舶混合动力DC/DC变换器双端稳压模糊piD仿真模型.如图6所示,由一个单位阶跃信号(Step1)模拟一次能量管理系统对动力电池由充电模式切换到放电模式.图中:K2和K3分别是两端反馈分压比;R1为模拟负载等效电阻,R2为模拟动力电池内阻,为便于分析和测量,当一端做电源端时忽略该端内阻,另一端断掉电源.通过对电流的动态均值进行检测来自动选择稳压端,模拟动力电池与直流母线间的充放电作用.

2仿真验证

2.1扰动情况仿真

根据本文设计的模糊piD控制器,在matLaB/Simulink中对变换器的两种工作模式分别进行了仿真,经过反复调节K4,K5和K6后,选取K4为1,K5为0.0002,K6为0.8,使模糊piD控制器控制下的变换器在Buck模式和Boost模式下都有良好的表现.同时与经典piD控制器进行对比研究.

图7为模糊piD控制下和piD控制下的BiBuck/BoostDC/DC变换器输出响应曲线,两端电压分别为100V和48V.在Buck模式下:0.03s时负载端并入了20Ω的电阻,其负载电阻变为10Ω,0.05s时撤下该电阻,模拟负载变化的扰动,观察两种变换器的抗扰性能;0.08s时使输入电压下降为40V,模拟动力电池的供电电压下降时的情况.在Boost模式下:0.02s时负载端并入了5Ω的电阻,其电阻变为2.5Ω,0.04s时撤下该电阻,模拟负载变化的扰动,观察两种变换器的抗扰性能;0.08s时使输入电压下降为90V,模拟输入电源的扰动.

由图7可以看到:(1)与经典piD控制相比,模糊piD控制在Buck模式和Boost模式下都能率先稳定,这表明模糊piD控制下的DC/DC变换器具有良好的动态性能.(2)模糊piD控制比piD控制能更好地抵抗负载扰动,抑制扰动造成的尖峰,并且其扰动造成的波动在可接受的范围内.(3)当两种模式下输入电压下降一定幅度时,与经典piD控制相比,模糊piD控制能更好地抵抗来自电源的扰动,表现出了良好的抗扰性能.

2.2切换情况仿真

实现能量双向流动是双向DC/DC变换器的主要特点之一,也是船舶混合动力系统能量管理的要求.文献[14]提出运用电感电流的动态均值来检测电流流动的方向,并根据电流流动的方向,自动选择稳压端.本文根据文献[14]对输出电感电流设置合理的动态采样频率,设计了电流均值控制电路,并仿真验证其在模糊piD控制和piD控制下的切换效果.在0.02s时双向DC/DC变换器由升压向母线供电模式切换到母线向动力电池供电模式,电流反向,控制电路对电流均值进行处理从而输出控制信号,控制选择由iGBt2到iGBt1完成系统由Boost模式到Buck模式的切换.

从图8可以看出:模糊piD控制在Buck模式和Boost模式下都有很好的输出响应;在0.02s切换时,相比于经典的piD控制,模糊piD控制没有出现超调和尖峰的情况.这表明模糊piD控制在切

换时同样能保证变换器的输出电能稳定.

3结论

本文根据船舶混合动力系统工作模式,设计了双向DC/DC变换器双端稳压仿真模型,并用单模糊piD控制器实现了双向DC/DC变换器的输出电压稳定.仿真实验结果表明,本文中设计的模糊piD控制器具有良好的动态性能,不论对负载扰动还是电源扰动都有较强的稳定性,尤其在抗电源干扰方面有良好的表现,证明该设计可行.

参考文献:

[1]JianGw,FanGR,KHanJ,etal.performancepredictionanddynamicsimulationofelectricshiphybridpowersystem[C]//electricShiptechnologiesSymposium,2007.ieee,2007:490497.

[2]沈爱弟,褚建新,康伟.内河船舶电力推进系统设计[J].上海海事大学学报,2009,30(2):2024.

[3]ZaHeDiB,neBBoC,noRUmLe.anisolatedbidirectionalconvertermodelingforhybridelectricshipsimulations[C]//transportationelectrificationConferenceandexpo(iteC).ieee,2012:16.

[4]李炯,刘彦呈,张洁喜,等.开关电源在现代船舶上的应用分析[J].造船技术,2013(3):3437.

[5]YUSoFFmJ,iSmaiLnFn,mUSiRini,parativestudyoffuzzylogiccontrollerandproportionalintegralderivativecontrolleronDCDCbuckconverter[C]//powerengineering&optimizationConference(peoCo),20104thintemational.ieee,2010:142148.

[6]VinDHYaV,ReDDYV.piDfuzzylogichybridcontrollerforadigitallycontrolledDCDCconverter[C]//GreenComputing,CommunicationandConservationofenergy(iCGCe),2013internationalConferenceon.ieee,2013:362366.

[7]SeoKw,CHoiHH.SimplefuzzypiDcontrollersforDCDCconverters[J].Journalofelectricalengineering&technology,2012,7(5):724729.

[8]GUoL,HUnGJY,neLmSRm.evaluationofDSpbasedpiDandfuzzycontrollersforDCDCconverters[J].ieeetransactionsonindustrialelectronics,2009,56(6):22372248.

[9]王萍,辛爱芹,邹宇.高性能模糊piD控制DC/DC变换器[J].电力电子技术,2007,41(8):102103.

[10]deCnJt,SaLaZaRao,deaRaUJoFmU,etal.DSpbasedfuzzycontrollerappliedtoaDCDCboostconverter[C]//intelligentSignalprocessing(wiSp),2013ieee8thinternationalSymposiumon.ieee,2013:5459.

[11]徐德鸿.电力电子系统建模及控制[m].北京:机械工业出版社,2006:4243.

[12]张方华,朱成花,严仰光.双向DCDC变换器的控制模型[J].中国电机工程学报,2005,25(11):4649.Doi:10.3321/j.issn:02588013.2005.11.009.

buck电路篇9

maxim公司的maX16834是集成了高边LeD电流检测和pwm调光moSFet驱动器的大功率LeD驱动器。这种恒流模式高亮度LeD(HBLeD)驱动器适合于升压,升-降压,SepiC和高边降压拓扑。工作电压从.4.75V到28V,pwm调光/模拟调光比3000:1,可编程工作频率100kHz到1mHz,主要应用在单串LeDLCD背光,汽车前后灯照明,投映RGBLeD光源,环境照明和DC-DC升压/降压-升压转换器。本文介绍了maX16834主要特性,方框图以及多种应用电路。High-powerLeDDriverwithintegratedHigh-SideLeDCurrentSenseandpwmDimmingmoSFetDriverthemaX16834isacurrent-modehigh-brightnessLeD(HBLeD)driverforboost,boost-buck,SepiC,andhighsidebucktopologies.inadditiontodrivingann-channeLpowermoSFetswitchcontrolledbytheswitchingcontroller,italsodrivesann-channelpwmdimmingswitchtoachieveLeDpwmdimming.themaX16834integratesallthebuildingblocksnecessarytoimplementafixed-frequencyHBLeDdriverwithwide-rangedimmingcontrol.themaX16834featuresconstant-frequencypeakcurrent-modecontrolwithprogrammableslopecompensationtocontrolthedutycycleofthepwmcontroller.adimmingdriverdesignedtodriveanexternaln-channelmoSFetinserieswiththeLeDstringprovideswide-rangedimmingcontrolupto20kHz.inadditiontopwmdimming,themaX16834providesanalogdimmingusingaDCinputatReFi.theprogrammableswitchingfrequency(100kHzto1mHz)allowsdesignoptimizationforefficiencyandboardspacereduction.asingleresistorfromRt/SYnCtogroundsetstheswitchingfrequencyfrom100kHzto1mHzwhileanexternalclocksignalatRt/SYnCdisablestheinternaloscillatorandallowsthemaX16834tosynchronizetoanexternalclock.themaX16834’sintegratedhighsidecurrent-senseamplifiereliminatestheneedforaseparatehigh-sideLeDcurrent-senseamplifierinboost-buckapplications.themaX16834operatesoverawidesupplyrangeof4.75Vto28Vandincludesa3asink/sourcegatedriverfordrivingapowermoSFetinhigh-powerLeDdriverapplications.itcan

buck电路篇10

[关键词]光伏发电;追踪光源;稳压电路;教学装备

中图分类号:tK513.4文献标识码:a文章编号:1009-914X(2016)22-0142-02

随着越来越多的高校开展光伏发电电源教学的综合性实验,可追踪太阳能光伏发电教学装置也得到了更多的重视。它作为一个新颖的典型的教学载体,可以帮助教师开发设计教学项目,涵盖电气专业多门专业课程的内容,如电力电子稳压电路设计、传感器原理及应用、控制电路设计、电机拖动以及光能转换等。依托该教学设备,学生可以在实践动手的过程中,既可以综合所学的知识技能,提高自身的核心职业能力,又普及了太阳能发电的科普知识,有利于接触新事物、新科技,广开眼界,拓宽对未来就业的思路。

1.基本原理

该装置是通过光伏板将太阳能转换为电能,再经稳压装置处理,直接可向手机充电。为使教学方案简单易懂,故而选取太阳能的跟踪装置采取单轴式自动跟踪装置,而且它采用的单轴试自动跟踪装置能够使光伏板获取当前时刻最多的太阳能以及足够的功率来给手机充电。自动跟踪装置的主要工作原理是利用因太阳光照射的不均匀使两个光敏电阻的阻值变化不同,导致产生的电压差来控制步进电机的转动,直到寻找到电压差为零的位置后控制电机停止转动。但是由于太阳能光伏发电的输出电压不稳定,因此需要增加稳压装置,以此来输出稳定的电压向手机充电。稳压装置中的稳压电路是利用Buck-Boost电路来实现的,并在其后加了指示灯来确定输出电压为5V,以及USB接口直接向手机充电。

2.方案设计

2.1追踪光源部分设计:

为使教学方案简单易懂,故而太阳能跟踪装置采取单轴式结构[1],大体包含五部分,从下至上依次为步进电机、支架、光伏板阵列、信号采集与比较电路,除此之外还有从光伏板引出的USB接口。示意图如图1。

(1)信号采集与比较:采用光敏电阻传感器将光信号转换为电信号,通过模拟比较电路为电机的转动寻找正确方向。

(2)光伏阵列[2]:目前太阳能电池板主要分为单晶硅、多晶硅和非晶硅三类。其中单晶硅太阳能电池板的光电转换效率为15%~20%,使用寿命一般可达15年,最高可达25年。多晶硅电池板的转化率约为12%,非晶硅约为10%。因此,在能量转换效率和使用寿命等综合性能方面,单晶硅优于多晶硅和非晶硅,故本装置选用单晶硅电池板,并采用两块相同的太阳能板并联以获取较大的工作电流。

(3)支架:用于支撑光伏板,使其与光照成一近似垂直的角度,以此保证任意位置在纵方向上获得较大的光照。

(4)直流电机:由于室外的光照不是一成不变的,为了确保跟踪精度,应该保证太阳能跟踪过程中光伏板尽量与照射光线保持垂直状态,因此选择一款灵敏的电机显得十分重要,但是为了使本装置更加适应于教学使用,我们选用一款简单的直流电机。

对于光伏发电系统而言,室外环境如太阳辐照度经常随时间发生变化,导致了光伏阵列不能持续工作于最大输出功率点处,降低了光电转换效率,浪费了大部分光能。因此通过最大功率点跟踪(mppt)技术使光伏电池的输出功率保持在最大状态,是提高系统光电转换效率的一个重要途径。通过调研本文选取实际大型光伏系统使用的较为普遍的三种跟踪方法[3,4]进行简要的对比,可得下表1:

由于本文中设计的装置较小且为教学装置,上述方法均不适用于小型教学装置,因此我们将采用最简单的模拟电路来实现跟踪功能[5]。通过在光伏板上左右两侧设置光敏电阻来采集光能,使其产生电压差值驱动电机转动,直至电压差为零,即获得最大光照的位置。本方案虽然灵敏度低且精度较差,却有电路简单、成本低廉的优点,适用于本实验教学装置的制作和使用。

2.2稳压电路设计

将Buck变换器与Boost变换器进行级联,如图2所示。Buck变换器的电压传输比,Boost变换器的电压传输比,则级联后的变换器电压传输比为.若将L1、L2及C1组成的三阶低通滤波网络用一个简单的电感L代替,并不影响变换器稳态输出。若进一步将两组开关简化为一组开关,则可得到通常所述的输出电压反极性的Buck-Boost变换器。

图3为常规Buck-Boost变换器的基本电路拓扑,图4为其等效电路。根据电感电流是否连续,Buck-Boost变换器可分为连续导电模式和不连续导电模式。连续导电模式下的Buck-Boost变换器输入输出电压传输比m=V/Vg=D/(1-D),分子D为Buck变换器的电压传输比,分母(1-D)为Boost变换器的电压传输比,且输出电压的极性与输入电压相反,其工作条件为或。

在以上分析的基础上,本文选用DC/DC转换器tD1410作为电路核心,tD1410是一个380KHz固定频率单步开关模式稳压器,其内部含有电力moS管。该芯片在较宽输入范围时可实现2a连续电流输出,并有优良线性调节能力。pwm控制电路可以调整占空比从0至100%。使能功能、过流保护和短路电流保护功能被设计到芯片内部。其电压输入Vin为0到20V,对于小型光伏板一般情况下的输出电压刚好适用。

实际稳压电路模型如图5所示。电路中Vin是来自光伏板的电压输出,一般光照条件下电压输出范围是5.5~20V。一个合适的输入旁路电容Cin可以减小电压瞬变并提供调节器需要的转换电流,为保证电容的耐压高于电源的输入电压并达到预期效果,我们通过估算和仿真确定选用100uF,额定电压35伏的瓷片电容;在输出端接电容Cout可以消除电路高频噪声,改善负载的瞬态响应,这里选用220uF,额定电压25V。电感L1可以减小输出电压波动,使输出较稳定的直流电压,并满足连续导电模式下的工作条件[6],即,这里本文选用15uH,2.5a。另外,为避免输入端断开时Co从稳压器输出端向稳压器放电从而造成器件损坏,我们在转换输出端Sw和地线GnD之间接肖特基二极管SK33.反馈输入端FB通过外部电阻分压网络检测输出端电压并进行调节,反馈电压阈值是1.235V。通过电阻R1和R2分压,可得到输出电压Vout=(1+R1/R2)*1.235V,为输出5V直流电压,选用R1为6.2K欧姆,R2为2K欧姆。

3.电路仿真及实验测试

稳压电路是本装置最重要的部分,根据光伏装置输出电压不稳定的情况,设计一个稳压电路。在以Buck--Boost电路为主体的基础上,添加了闭环控制的控制系统以使电压稳到目标电压。根据电路设计原理,确立各项参数的变化范围,最终通过pSim仿真选择一组最精确的参数。图6为仿真电路,图7为输出电压(Vp1)与输入电压(Vp3)。由仿真结果可以看出,稳压效果良好,输出基本符合精确度要求。

在经过仿真后对稳压电路进行焊接并测试,实验结果表明稳压效果良好。电路板如图7,实验结果如图8。

4.结束语

本文所设计的光伏跟踪及稳压装置以电气专业的基本课程为依托,光源追踪效果与稳压效果良好,基本达到了实用性及教学要求,并且在设计制作过程中锻炼了学生对课程基本原理的应用能力,该装置也可用于日后教学及学生实验。

参考文献:

[1]舒志兵,汤世松,赵李霞.高精度双轴伺服太阳能跟踪系统的设计应用.伺服控制,2010年8月.

[2]薛继元,冯文林,赵芬,杨晓占.太阳能电池板的输出特性与实际应用研究.红外与激光工程,2015:1007-2276(2015)01-0176-06.

[3]徐鹏威,刘飞,刘邦银,段善旭.几种光伏系统mppt方法的分析比较及改进.电力电子技术,2007:1000-100X(2007)05-0003-03.

[4]闵江威.光伏发电系统的最大功率点跟踪控制技术研究.华中科技大学,2006.