首页范文集成电路设计规则十篇集成电路设计规则十篇

集成电路设计规则十篇

发布时间:2024-04-25 17:05:51

集成电路设计规则篇1

【关键词】集成电路datapath布局

从20世纪50年代第一个晶体管问世之后,集成电路制造工艺按照“摩尔定律”飞速发展,到2012年,中国的集成电路产品产量位居世界第一,占全球出货量的比重达到90.6%。随着集成度的提高,芯片内部晶体管数目越来越多,传统的手工设计和小规模的设计模式已经无法适应集成电路越来越高的复杂性。因此,大力发展集成电路产业,极力推动我国信息产业的发展,将会极大地促进我国的国民经济和人民的生活水平。然而,与飞速增长的集成电路相比,相关的集成电路设计能力却远远跟不上时代的脚步,电路的复杂性以每年58%的速度在增长,相应的设计能力每年的长幅只有21%。Datapath模块在电路中出现的频率越来越高,有资料显示,在现代微处理器中,Datapath模块的面积已经达到总面积的30%~60%,Datapath已经成为现代数字集成电路的非常重要的组成部分。因此,Datapath单元布局的问题也引起人们的极大重视。如果这一类电路能够被很好的布局布线,那么电路的集成度和性能将会有很大提高,同时时延、布局面积和拥挤度等都会有所改善。

1国内外datapath布局的基本情况

传统的布局布线方法只是基于时延、布局面积和拥挤度等作为约束条件进行优化,数据通路本身的特殊性在布局布线当中并没有得到充分的考虑,因此,这种高性能规则化的数据通路电路在布局布线当中没有达到良好的效果。总结国内外现有的设计流程和算法,大致可以分为两种类型,一类是综合工具,一类是提取工具。

terrytaoYe提出的抽象物理模型算法属于综合工具:该算法对电路综合前的逻辑设计信息进行整理,对其中的功能块进行建模,对每一种运算单元建立相应的标准单元组,并抽象成具有不同i/o数量和类型的矩形,然后在布局前预处理网表,采用匹配的方法决定标准单元的归属,并把这些单元在布图区域里进行映射从而完成布局。a.Chowdhary提出的一个自动模板匹配法也属于综合工具,该算法同样是根据电路逻辑设计的结果自动生成一些模板,然后对网表中的单元进行匹配,不同的是,他建立的单元组是树状的。上述这两种方法拥有“综合工具”类方法的共同点,就是在提取规则性信息时要求回溯到综合阶段以前的硬件描述语言中,从中得到大多数信息。但是,在大多数实际情况中,布局算法的输入是纯粹的综合后的电路网表,并没有初始硬件描述,原有的规则性信息在综合后都丢失了,这类算法在这种情形下几乎不再适用。“提取工具”类算法恰好相反,它是在电路网表中通过一定的提取算法进行规则性信息的提取,而不需要电路综合前的硬件描述信息,因而特别适用于一般意的datapath单元布局。R.X.t.nijssen提出了一种基于单元连接关系分析的提取算法,该算法通过分析单元连接度和数据流向等电路属性来判断单元之间的关系,并据此提取规则单元。国内清华大学的博士也提出了一种基于单元规则排列的datapath布局算法(Dpp)能够保持bitslice结构,并且能够提高功率和减小布局面积,还可以结合到任何p&R工具当中,算法提出了一个新的不规则度(irregularitydegree)模型来衡量单元之间连接关系的规则性,并根据这个模型提出了规则提取算法,由提取算法抽取的规则单元排列成矩阵,最后算法把规则矩阵转化为并行约束并通过二次布局算法实现了datapath单元布局。

2datapath结构特点

Datapath是并行进行多位数操作的数字集成电路,其在逻辑上和物理结构上具有规则性,与随机逻辑单元(即控制逻辑单元)不同,它经常用于算术逻辑单元,每一个操作会和一个功能块相关,如图1所示。客户的要求不同,功能块就不同,一般有加法器、寄存器族、触发器、多路选择和多路控制器、译码器、解码器、比较器等。位之间的连接是由操作定义的,因此,电路中存在两种意义上的互连流,一种是数据流,一种是控制流。数据流一般是并行的多位数据。控制流可以是全局的控制信号,对每一位同时产生作用;也可以是局部的控制信号,控制相邻几位,如图2所示。

在标准单元模式的布局背景下,根据操作的数据位数,datapath的功能块被设计成相应个数的标准单元,每个单元负责一个数据位上的操作,相同功能块的单元形成列状排列的叫“列(word-line)”;一个列中的单元之间多通过控制线相连,不同功能块里对相同的位进行操作的单元可以排列成行叫“行(slice)”,相同行中的单元之间多通过数据线相连。这样,datapath电路在设计时就被看成是按行和列结构规则排列的单元矩阵,这个矩阵称为“规则矩阵”,矩阵中的单元称为“规则单元”,而datapath电路中规则单元成矩阵排列的这种特殊结构被称为bit-slice结构。图1为一个datapath电路的逻辑设计结构,图2为相应的成矩阵状排列的物理布局结构,这也是datapath电路最理想的布局结果。

如图3所示,正因为datapath电路的bit-slice结构具有高度的规则化和结构化,吸引了设计者们想要以规则化的布局方式达到高性能、布局面积小的目的。每一组功能相近的组件被称为datapath的一个stage,如图3,顶部的一组datapath组件也可以分为几个stage,电路的数据流向一般与stage顺序方向一致,但是stage内部也可以有自己的数据流向,如stage3,在位列之间也会有数据流,如stage2,一个stage到下一个stage之间,可以有一对多或多对一的输入输出关系。这种规则化的原理图一般可以整体转化为一个规则化的布局。在特殊情况下,数据流可能会很长,以至于无法形成一个简单规则的bit-slice结构,我们在布图当中就会解决这个问题。

3datapath电路的布局要求

Datapath对布局的要求源于其自身的电学性能的要求。由于datapath电路一般由几百至几千个标准单元组成,规模较小,较一般芯片来说单元数量少,所以对线长的要求并不十分高。但由于datapath模块在整个芯片里承担主要的计算任务,因此对最大时延、串扰、信号时延平衡等性能指标的要求相对较高。其中时延是指信号到达一个单元的时间与要求时间的最大延迟,信号时延平衡则指同一信号到达同一功能块中不同位上的单元的时延差异,可以通过计算信号到达同一列上不同单元的延迟的最大、最小值的比值来大致衡量。对于最大时延的优化有已经很多文献研究,但对于信号时延平衡到目前并没有找到很好的数学模型,解决起来比较困难。但是,人们在长期的设计实践中发现:如果datapath单元的布局能够参考设计时给出的规则化的bit-slice结构,那么线网互联的延时将会得到改善,这是由于布局的过程从很大程度上借鉴了datapath电路本身的特点,datapath电路中比例最大的数据线(比如Bus线网)将最大程度的分布在行内,减少了线网在行与行之间的交叠;同时,由于行与行之间的相似性,类型相同的线网在长度和信号方向上都相差无几,这对减少信号偏差、降低串扰无疑十分有利,由此得到的布局结果往往能在最大时延和时延平衡性方面都获得良好的效果。另一方面,由于布局结果与datapath设计的拓扑结果十分一致,这将有利于设计者在综合阶段就能对datapath电路的时延等性能指标做出比较精确的估计,从设计的整体过程上提高电路的质量。也就是说:datapath电路特殊的结构和性能要求我们在布局过程中要使datapath电路能够很好的实现单元的规则化排列。

4datapath电路布局的基本方法

Datapath电路的布局方法一般分为两个步骤,第一部是提取规则单元,第二布是规则单元布图规划。

4.1规则单元的提取

实现单元规则化排列首先要掌握单元的规则性信息,即如何区分规则单元和自由单元,以及区分规则单元与在规则矩阵中相邻的其他单元之间的位置关系等。正常情况下,结构规则的逻辑单元一般都出现在datapath组件里,目前主要有两种提取规则单元的方法:一是覆盖,在所有可能要用的模块当中提取所有功能和结构相似的模块,然后选出最好的映射在电路当中。二是膨胀,发现相邻位块之间有相似的逻辑或结构的一部分逻辑门作为模板,再将周围电路与之前的模板进行比较,看是否具有相似的规则化,如果不规则度小于一定阈值的,则可以把模板扩大,继续膨胀找到相似结构,如果不规则度太大,则停止膨胀,得到最后相关的规则电路。

4.2规则电路的布图规划

Datapath的布图规划就是要给datapath组件找到合适的相对位置,同时依据数据流的方向。其目的就是要实现在没有重叠布局的前提下,布图面积和互连线长达到最优化的结果。Datapath的布图规划最大的特点是线网的大多数是总线并且与数据流的方向一致。当数据流长度过大时,有两种方法解决,一种方法是把较长的为线分为几个部分然后把他们依次布局在电路中,以最大宽度和高度为约束,找到最优的分解办法和布图方式,如图4(a);另一种方法是用般的模块封装的算法并且把数据流方向的约束加上,确保每一级的操作都是按顺序的,如图4(b)。

参考文献

[1]terrytaoYeandGiovanniDemicheli.DatapathplacementwithRegularity[C].inproceedingsofiCCaD2000.

[2]a.Chowdhary,S.Kale,p.Saripella,n.SehgalandR.Gupta.aGeneralapproachforRegularityextractioninDatapathCircuits[C].internationalConferenceonComputer-aidedDesign,1998.

[3]R.X.t.nijssenandC.a.J.vaneijk,“GreyHound:amethodologyforutilizingdatapathregularityinstandarddesignflows”,integration,theVLSiJournal25,1998:111-135.

[4]杨长旗.超大规模集成电路混合模式布局算法研究和系统设计[D].清华大学博士论文,2004.

[5]L.Scheffer,L.LavagnoandG.martin,eds.,eDaforiCimplementation,CircuitDesign,andprocesstechnology[m],CRCpress,BocaRaton,2006.

[6]UlfJ?lmbrantandmattiaswinsten.StructuredDigitalDesign.CiteSeerx,ScientificLiteratureDigitalLibraryandSearchengine[J].version1.02,2007:1-4.

作者简介

郝燕芳(1990-),女,山西省晋中市人。现为中国矿业大学(北京)硕士研究生。研究方向为计算机系统结构、集成电路。

集成电路设计规则篇2

【关键词】平地居民密集区;110KV架空送电线路;研究分析

送电线路作为电力行业的一种基础设施,不但关系到社会生产的正常用电,而且还关系到沿线居民的生命财产安全,因此认真分析平地居民密集区高压送电线路的设计施工十分必要。

1平地居民密集区110KV送线电路设计分析的必要性

之所以对平地居民密集区的高压送电线路的设计施工进行分析,是由高压送电线路设计分析工作本身以及平地居民密集区特点所决定的。

第一,高压输送线路设计工作特点。送电线路是影响电力系统正常运行关键所在,同时对保护社会生产用电安全也十分重要。众所周知,电力输送长度越长,线路损耗就越大,因此在保证线路基本功能的同时还要缩短输电距离,减少送电成本。由此看出,对送电线路进行科学合理的规划是十分必要的。

第二,平地居民密集区的特点。平地居民密集区的主要特点是居民区内居民数量要远高于其他区域,密集的居民分布会导致区域内基础设施规划十分复杂,并且空闲空间很少,从而留给线路施工的空间就很少。所以,我们有必要对平地居民密集区的高压送电线路进行设计分析。

2高压送电线路的设计原则

第一,安全原则。这里所指的安全不单是指线路施工时的安全而且还包括线路使用过程中的安全。因为一旦高压线路在使用过程中出现安全事故,就有可能严重威胁到线路周围居民的生命财产安全,所以安全原则是线路设计需要遵循的首要原则。

第二,结构优化原则。硬件是高压电力线路的重要构成要素,硬件结构的优化不但关系到线路输送能力的高低,而且在应对自然灾害以及气候变化等方面都发挥着重要作用。

第三,系统监测原则。电力线路的维护管理一直都是电力企业管理工作的难点,为减少维护人员劳动强度,提高维护工作效率,在线路设计时需要考虑到在线监测。在线监测可以对气象、防盗、工况等进行实时监控,从而确保送电线路的持续稳定工作。

第四,防雷保护原则。电力塔一般都很高,在夏季多雷期很容易遭受雷击,不但会造成电力系统的停运,而且还会给周围居民的生命财产安全造成巨大威胁。因此,在进行高压线路设计时还要考虑到防雷保护原则。

3平地居民密集区110KV送电线路设计

3.1110KV送电线路设计内容

第一,线路导线选择。选择合适的导线是送电线路设计的重要工作,一般来说高压电线路对导线有很严格的要求。首先,导线必须具备很高的负荷能力;其次,导线还要具备一定的抗电磁干扰能力并且线路损耗小。另外,导线的使用寿命以及安全性能也包括在设计工作内容之内。

第二,绝缘接地设计。为保证线路的安全,电力企业还需要对线路进行绝缘设计。设计师应该结合线路应用要求在电塔上设置合适数量的绝缘子。另外,电力企业还要对线路进行接地处理,并针对施工地区实际情况选择合适的接地方式。

3.2平地居民密集区110KV送电线路设计的影响因素以及应对措施

平地居民密集区影响送电线路设计的因素有很多,但是总体上可以将这些因素分为房屋因素、道路因素以及自然因素。

第一,房屋因素。平地居民密集区房屋密集给高压送电线路的设计施工带来了不小难度。一般情况下,线路施工应该尽量避免跨房屋,再加上在密集区留给线路铺设施工的空间很小,因此设计师要针对这些问题采取一定的应对措施。

在居民区建设高压电塔时,应该尽量避开对居民生活影响较大的区域,并且要减少土方的开挖,尽量使用混凝土灌注桩或者钢管灌注桩。另外,如果必须要进行基坑开挖,施工企业务必要做好基坑支护工作,以免对周围房屋产生不良影响。

第二,道路因素。居民密集区的道路大多纵横交错、转弯较多,按照传统的沿着道路铺设线路的方式便不再合适,因此在密集居民区设置线路时难免要存在交叉跨越。此时,一旦线路设置不合理就会造成严重的生产事故甚至是安全事故。

首先,高压线路还是要尽量沿着道路进行设置,在施工时要验证道路是否符合相关工程建设标准,以免开挖作业对道路造成破坏。其次,为减少线路施工对交通的影响,线路设置施工要尽量避开交通高峰期。最后,如果出现线路交叉重叠,施工方务必要使线路符合相关的交叉重叠规范,减少线路之间的相互影响。

第三,自然因素。自然因素不但会对线路的工作使用产生影响,而且还会对线路设置施工产生很大影响。恶劣自然灾害会影响线路施工进度以及加速线路的损坏。

首先,在施工前施工企业要结合施工地区以往的气象资料,并且要对当地居民进行走访,了解该地的天气变化规律,从而制定合适的施工方案,保证工程按时完工。其次,设计人员在设计时要考虑到该地常见的自然灾害,例如居民密集区风速大,冬天冻土较浅等自然特点选择合适的工艺方法和材料,从而保证线路的使用寿命。

4结语

平地居民密集区110KV送电线路的设计工作是线路设置工程的重要构成要素,现阶段对平地居民密集区高压送电线路的设计还不成熟,还存在一些问题。本文首先对研究平地居民密集区高压线路设计工作的必要性进行了简单分析,然后对高压线路设计原则进行详细探讨,最后结合影响设计施工的因素提出了几点做好送电线路设计具体措施。

参考文献:

[1]贺忠义,左世颜,苏良智.平地居民密集区110KV架空送电线路设计分析[J].电源技术应用,2013(2).

集成电路设计规则篇3

关键词:可重构;模板提取;图同构;子图扩展;数据流图

中图分类号:tp391文献标识码:a文章编号:1009-3044(2011)01-0251-03

anoverviewofRegularityextractionalgorithmsinintegratedCircuits

ZHanGHou-jun,ZHoUZhou

(DepartmentofComputerScienceandtechnology,tongjiUniversity,Shanghai201804,China)

abstract:Data-pathdominatedintegratedcircuitsalwayshaveagoodamountofregularityinthem.Regularityofintegratedcircuitshasthemeritsforpredigestingdesign,shorteningtheperiodofdesign,reducingthedesigncost,andimprovingtheperformanceofthesystem.thispaperisaliteraturereview.itintroducestherecentstudyofgraph-theorybasedregularityextractionalgorithmsinsummary.meanwhilethesolvingideaandtime-complexityofsomeclassicalalgorithms,suchastReeandSpoG,areintroduced.theadvantagesanddisadvantagesareanalyzedtoo.moreover,someimportantpropertiesaresummarizedandcompared.Last,thispaperprovidesareferenceddirectionforthestudyofregularityextraction.

Keywords:reconfigurable;regularityextraction;graphisomorphism;sub-graphextension;data-flowgraph

1概述

随着集成电路制造技术的进步和应用需求的增长,整个系统现在已经可以集成在单个芯片之中,片上系统(systemonachip,SoC)已成为集成电路系统设计的重要形式和热点研究内容。然而,当前集成电路设计能力不足已成为制约集成电路工业进一步发展的重要因素。因此必须尽快改进设计方法,不断提高设计能力[12]。

传统的设计方法中忽略了系统描述本身所包含的结构特性。在以数据处理为主的应用描述中往往具有高度的规律性,存在着大量的相似结构,利用其规律性可以实现规则的布图以提高芯片的性能及可制造性。因此,如果能够将基于模板的技术用在集成电路的设计当中,分析和提取电路中相似结构以实现规则性的布图,那么芯片在性能和集成度方面将会有大大改善。

电路模板技术是指将电路中重复出现的子电路抽象出来作为模板,它在电路性能的提高、电路的验证、设计重用、电路划分等领域以及处理高层次综合领域中的调度和分配问题都具有重要的作用[12]。因此对集成电路的规则性提取问题的研究在VLSi自动化设计领域具有深远的意义。

此外,嵌入式多媒体应用程序的一个显著特点也是规则运算很多,运算时间复杂度很高,因此也迫切需要提高性能,降低功耗。

从输入数据流图(data-flowgraph,DFG)中提取出图中频繁运用的子图集合或相似子图集合,通过后续模板覆盖、任务划分和调度阶段对原始DFG进行模板覆盖,将相似子程序调度到相同的pe阵列上去,这使得程序的调度更有效,最大可能地复用模块单元实现系统的功能,提高重用性,减少系统的面积。因此,基于模板的技术也是可重构系统任务编译器前端设计中一种较有效的方法。如果能在可重构系统的编译器当中使用模板技术,那么对系统的并行处理及逻辑优化等将会有很大帮助。

无论是对数据通路型集成电路还是对嵌入式多媒体应用程序进行规律性提取时,通常都是将电路的门级网表或者程序转化为对应的DFG表示。因此,本文主要讨论基于图论的模板提取。

2问题定义

对于一个DFG,结点表示一个简单的操作(比如aDD,SUB等),有向边表示数据流的方向。设G(V,e)表示一个DFG,V为其顶点集,e为其边集,有如下定义。

定义1若图SG(SV,Se)满足SV∈V及Se∈e,则称SG是G子图[16]。

定义2对于G(V,e)中的两个子图G1(V1,e1),G2(V2,e2),如果V1和V2之间存在一一对应的映射关系f:V1V2,对于vi,vj∈V1,∈e1当且仅当∈e2,并且与的重数相同,那么称G(V,e)的两个子图G1(V1,e1),G2(V2,e2)是同构的[16]。

定义3模板t就是DFG中频繁出现的子图结构,而与此模板结构相同的子图称为该模板的实例,这种子图的个数称为该模板的频数[13]。

定义4若SG(SV,Se)是G(V,e)的一个子图,将SV记为有序的结点集,则SV的第一个结点称为SV或子图SG的起点[12]。

定义5图G(V,e)的顶点平均度,记作

其中,deg(vi)为顶点vi的度,表示与vi相邻顶点的个数[11]。

3现有模板提取算法分析

目前,国外有些学者提出了一些模板提取的算法,并取得了一定的研究成果,国内研究尚处于初级阶段。下面对一些典型的模板提取算法的思想作一下介绍。

3.1模板提取算法

3.1.1tRee和SpoG算法[8]

由Chowdhary等人提出的tRee算法能够提取出单输出和内部没有汇聚的模板。而且其通过两个假设(假设1:把图G的子图集S限制在只包括某些子图,这些子图满足不再是S中任一图的子图,且在S中其频数大于1。假设2:对于G中每一个有入边的结点v,假设其有f条入边,前驱结点分别为u1,u2…uf,每一条边都被赋予一个唯一的索引号,k[ui,v]=i,1≤i≤f)将树形模板的数量减少到v(v-1)/2。算法的基本思想如下:

1)对G的所有结点进行拓扑排序v1,v2…vn。

2)对于任意两个编号的结点vi,vj(1≤i,j≤n),生成以这两个结点为根的功能上相同的最大子图作为一个模板Sm。

3)判断模板库中是否存在于Sm功能上等价的模板。如果不存在,将Sm加入到模板库当中;否则,舍弃Sm。

SpoG算法则是在tRee算法基础上的扩展和改进,将生成的模板扩展到多输出模板。此时SpoG子图的数量可以被限制在v(v-1)。

tRee算法和SpoG算法是典型的模板提取算法,它能够提取出基于两个假设以及各自限制条件之内的所有模板,这对于后续的模板覆盖有很大的帮助,覆盖率较高。但同时此算法也有着很大的不足之处,都适用于分散图,且生成的模板限制在tree形或spog形,算法的复杂度也很高,为o(v5),不适合实际工程的需要。

3.1.2Fan算法[15]

潘伟涛等人提出的Fan算法通过边权值编码,先生成小规模模板,然后再逐级扩展生成较大规模模板,产生扇形频繁子电路。算法的基本思想如下:

1)统计电路中每种标准单元出现的频率。依据最小支持度确定为各标准单元作标记还是删除它,并计算所有顶点的有效输入权值。

2)搜索所有同构实例,对于每一个同构实例在最左顶点扩展一条边。

3)统计扩展后的扇形子电路的种类和频数。依据最小支持度确定将此子电路标记为模板并进行下一轮的扩展还是将它删除。

Fan算法采用最小支持度对每次扩展生成的子图进行限制,通过比较子电路的出现的频数,有效地避免了子图扩展时一些不必要的冗余扩展,并且此算法采用逐级扩大规模的方法,得到的模板层次化较强,可以对电路进行更好的覆盖实用性较强。

3.1.3其他算法

RaoandKurdahi[3]最早关注于数据通路型集成电路的模板提取,它将基于模板的聚类思想应用到数据通路的综合上,这里的模板提取过程也就是基于不同子图(它们可以被复制来覆盖整个DFG)的识别过程。文献[4]在解决模板提取问题时,假设子模块已经生成,主要解决子模块分类问题,但是一般情况下需要自动生成模块。文献[5-6]提出了一些模块生成算法,但均是先选择某一顶点作为一个模块,然后在此模块内不断加入其它的顶点形成新的模块。这几种算法对模块的形式没有限制,但也有其固有的缺点,就是所生成的模块形式依赖于起始模块的选择。文献[11]提出了一种基于顶点的辐射路特征的门级到功能模块级的快速子电路提取算法,解决了宏单元模板自动匹配,通过单个顶点的相似度特征,将子图同构问题转化为顶点之间的匹配问题,算法最差时间复杂度为■(其中,n和k为两图结点数,d为原始电路的直径)。文献[12]中算法对DFG的整体结构以及模块的结构没有要求,增强了算法的健壮性,而且生成的模板的层次化较强,模板覆盖率较高,但在同构判断时无针对性,需对所有模板进行一一判断,导致程序复杂性的提高。

3.2模板提取算法的比较与分析

模板提取算法有以下一些重要性质:1)输入DFG的类型,如连通图、有向图和无环图等;2)遍历策略,如深度优先或者广度优先等;3)候选子图的产生策略,如逐级扩展还是其他;4)对重复图的消除策略,如主动地或被动地;5)生成模板的层次化,如较好或较差。表1详细列出了一些模板提取算法的重要性质,并进行了比较。

4总结和展望

随着集成电路产业的发展,迫切地需要提高芯片的性能,而利用集成电路自身的规律性可以实现规则的布图。因此,基于模板的技术将会对提高芯片的性能及可制造性有很大的帮助。本文归纳了基于图论的模板提取的各种算法,目前在这方面的研究已经取得了很大成绩,并被应用到一些实际的系统中。本文重点介绍了tRee、SpoG和Fan等典型的模板提取算法,并对其他算法进行了简要介绍。归纳出模板提取算法的一些重要性质,并对现有各算法进行了比较。

虽然目前存在的算法较多,且执行效率较高,但我们觉得还可以在以下方面加以改进或做进一步的研究:

1)现实生活中有各种各样的图形:有向图,无向图,加权图,无连通图等,但目前的算法大部分都是针对连通图的提取,对加权图有环图等的提取算法很少,因此对加权图有环图等的提取算法的研究也是一个重要的研究方向。

2)现有方法优势还主要集中在对小规模集成电路的提取上,集成电路产业的发展要求我们能够对大规模甚至超大规模集成电路进行提取,因此需要研究大规模集成电路的提取方法。

3)模板提取评测方法的研究。目前主要是靠算法复杂度的评估以及模板覆盖率等,在模板覆盖阶段,现有最大模板优先和最频繁模板优先的方法,但这样不能达到对系统最好覆盖,因此我们应该考虑如何在模板的规模和频数之间进行权衡,以利用所提取的模板达到对系统的最完美覆盖,最大程度地减小系统面积开销。

参考文献:

[1]philipBrisk,adamKaplan,RyanKastner,majidSarrafzadeh.instructionGenerationandRegularityextractionForReconfigurableprocessors[C].proceedingsoftheaCm,Grenoble,France,2002:262-269.

[2]YuanqingGuo,GerardJm,SmitHajo,etal.templateGenerationandSelectionalgorithms[C].proceedingsofthe3rdieeeinternationalworkshoponSystem-on-ChipforReal-timeapplications,2003.

[3]RaoDS,KurdahiFJ.partitioningbyregularityextraction.in:proceedingsoftheaCm[C].ieeeDesignautomationConference,anaheim,California,USa,1992:235-238.

[4]RaoDS,KurdahiFJ.anapproachtoschedulingandallocationusingregularityextraction[C].proceedingsoftheeuropeConferenceonDesignautomation,paris,France,1993:557-561.

[5]arikatiSR,VaradarajanR.asignaturebasedapproachtoregularityextraction[C].proceedingsoftheinternationalConferenceonComputeraidedDesign,SanJose,California,USa,1997:542-545.

[6]Kutzschebaucht.efficientlogicoptimizationusingregularityextraction[C].proceedingsoftheinternationalworkshoponLogicSynthesis,austin,texas,USa,1999:487-493.

[7]ShmidtD,DruffelL.afastbacktrackingalgorithmtotestdirectedgraphsforisomorphismusingdistancemeasures[J].JournalofaCm,1976,23(3):433-445.

[8]Chowdharya,KaleS,Saripellap,etal.ageneralapproachforregularityextractionindatapathcircuits[C].proceedingsoftheinternationalConferenceonComputeraidedDesign,SanJose,California,USa,1998:332-339.

[9]Rosielloape,FerrandiF,pandiniD,etal.aHash-basedapproachforFunctionalRegularityextractionDuringLogicSynthesis[C]//ieeeComputerSocietyannualSymposiumonVLSi.newYork:ieee,2007:92-97.

[10]Chowdharya,KaleS.extractionofFunctionalRegularityinDatapathCircuits[J].ieeetransonComputeraidedDesign,1999,18(9):1279-1296.

[11]李长青,汪雪林,彭思龙.辐射路匹配:从门级到功能模块级的子电路提取算法[J].计算机辅助设计与图形学学报,2006,18(9):1377-1382.

[12]郎荣玲,秦红磊,路辉.集成电路中的规则性提取算法[J].计算机学报,2006,29(4):597-601.

[13]潘伟涛,谢元斌,郝跃,等.二同构扩展集成电路规律性提取算法[J].西安电子科技大学学报:自然科学版,2009,36(3):452-457.

集成电路设计规则篇4

一、集成电路布图设计的概念

集成电路的布图设计是指一种体现了集成电路中各种电子元件的配置方式的图形。集成  电路的设计过程通常分为两个部分:版图设计和工艺。所谓版图设计是将电子线路中的各个  元器件及其相互连线转化为一层或多层的平面图形,将这些多层图形按一定的顺序逐次排列  构成三维图形结构;这种图形结构即为布图设计。制造集成电路就是把这种图形结构通过特  定的工艺方法,“固化”在硅片之中,使之实现一定的电子功能。所以,集成电路是根据要实现的功能而设计的。不同的功能对应不同的布图设计。从这个意义上说,对布图设计的保护也就实现了对集成电路的保护。

集成电路作为一种工业产品,应当受到专利法的保护。但是,人们在实践中发现,由于集成电路本身的特性,大部分集成电路产品不能达到专利法所要求的创造性高度,所以得不到专利法的保护。于是,在一九七九年,美国众议院议员爱德华(edward)首次提出了以著作权法来保护集成电路的议案。但由于依照著们法将禁止以任何方式复制他人作品,这样实施  反向工程也将成为非法,因此,这一议案在当时被议会否决。尽管如此,它对后来集成电路保护的立法仍然有着重要意义,因为它提出了以保护布图设计的方式来保护集成电路的思想;在这基础上,美国于1984年颁布了《半导体芯。片保护法》;世界知识产权组织曾多次召集专家会议和政府间外交会议研究集成电路保护问题,逐渐形成了以保护布图设计方式实现对集成电路保护的一致观点,终于在一九八九年缔结了《关于保护集成电路知识产权条约》。在此期间,其他一些国家颁布的集成电路保护法都采用了这一方式。

虽然世界各国的立法均通过保护布图设计来保护集成电路,但关于布图设计的名称却各不相同。美国在它的《半导体芯片保护法,)中称之为“掩模作品”(maskwork);在日本的《半导体集成电路布局法》中称之为“线路布局”(cir— cuitlayout);而欧共体及其成员国在其立法中称布图设计为“形貌结构”(topography);世界知识产权组织在《关于集成电路知识产权条约》中将其定名为布图设计。笔者以为,在这所有的名称中以“布图设计”一词为最佳。“掩模作品”一词取意于集成电路生产中的掩模。“掩模作品”一词已有过时落后之嫌,而“线路布局”一词又难免与电子线路中印刷线路版的布线、设计混淆。“形貌结构”一词原意为地貌、地形,并非电子学术语。相比之下,还是世界知识产权组织采用的“布图设计”一词较为妥当。它不仅避免了其他名词的缺陷,同时这一名词本身已在产业界及有关学术界广泛使用。《中国大百科全书》中亦有“布图设计”的专门词条‘

二、布图设计的特征

布图设计有着与其他客体相同的共性,同时也存在着自己所特有的个性。下面将分别加以论述。

1.集成电路布图设计具有无形性

无形性是各种知识产权客体的基本特性,,因此也是布图设计作为知识产权客体的必要条件。布图设计是集成电路中所有元器件的配置方式,这种“配置方式”本身是抽象的、无形的,它没有具体的形体,是以一种信息状态存在于世的,不象其他有形物体占据一定空间。

布图设计本身是无形的,但是当它附着在一定的载体上时,就可以为人所感知。前面提到布图设计在集成电路芯片中表现为一定的图形,这种图形是可见的。同样,在掩模版上布图设计也是以图形方式存在的。计算机辅助设计技术的发展,使得布图设计可以数据代码的方式存储在磁盘或磁带中。在计算机控制的离子注入机或者电子束曝光装置中,布图设计也是以一系列的代码方式存在。人们可通过一定方式感知这些代码信息。布图设计是无形的,但是其载体,如掩模版、磁带或磁盘等等却可以是有形的。

2.布图设计具有可复制性

通常,我们说著作权客体具有可复制性,布图设计同样也具有著作权客体的这一特征。当载体为掩模版时,布图设计以图形方式存在。这时,只需对全套掩模版加以翻拍,即可复制出全部的布图设计。当布图设计以磁盘或磁带为载体时,同样可以用通常的磁带或磁盘拷贝方法复制布图设计。当布图设计被“固化”到已制成的集成电路产品之中时,复制过程相对复杂一些。复制者首先需要去除集成电路的外封装;再去掉芯片表面的钝化层;然后采用不同的腐蚀液逐层剥蚀芯片,并随时拍下各层图形的照片,经过一定处理后便可获得这种集成电路的全部布图设计。这种从集成电路成品着手,利用特殊技术手段了解集成电路功能、设计特点,获得其布图设计的方法被称为“反向工程”。

在集成电路产业中,这种反向工程被世界各国的厂商广泛采用。集成电路作为现代信息工业的基础产品,已渗透到电子工业的各个领域,其通用性或兼容性对技术的发展有着非常重要的意义。因此,而反向工程为生产厂商了解其他厂商的产品状况提供了可能。如果实施反向工程不是单纯地为复制他人布图设计以便仿制他人产品,而是通过反向工程方法了解他人品功能、参数等特性,以便设计出与之兼容的其他电路产品,或者在别人设计的基础上加以改进,制造出更先进的集成电路,都应当认为是合理的。著作权法中有合理使用的规定,但这种反向工程的特许还不完全等同于合理使用。比如,合理使用一般只限于复制原作的一部分,而这里的反向工程则可能复制全套布图设计。改编权是著作权的权能之一,他人未经著作权人同意而擅自修改其作品的行为是侵权行为,但这里对原布图设计的改进则不应视为侵权。

综之,无论何种载体,布图设计是具有可复制性的。

3.布图设计的表观形式具有非任意性著作权客体的表现形式一般是没有限制的。同一思想,作者可随意采取各种形式来表达,因此著作权法对其表现形式的保护并不会导致对思想的垄断。布图设计虽然在集成电路芯片中或掩模版上以图形的方式存在,具备著作权客体的外在特性,但是其表现形式因受诸多客观因素的限制,却是有限的或者非任意的。

首先,布图设计图形的形状及其大小受着集成电路参数要求的限制。如果要求集成电路  具有较高的击穿电压,设计人在完成布图设计时就必须将晶体管的基区图形设计为圆形,以  克服结面曲率半径较小处电场过于集中的影响。对于用于功率放大的集成电路,其功放管图  形的面积必须较大,使之得以承受大电流的冲击。

其次,布图设计还受着生产工艺水平的限制。为了提高集成电路的集成度或者追求高频 特性,常常需将集成电路中各元件的面积减小。这样,布图设计的线条宽度也相对较细。目前国。外已达到亚微米的数量级。但如果将线条设计得太细,以致工艺难度太大将会大大地降低集成电路成品率和可靠性,这是极不经济的;同样地,如果一味,地追求功率参数,将芯片面积增大,也会降低集成电路的成品率。

此外,布图设计还受着一些物理定律以及材料类及其特性等多种因素的限制。比如,晶体管可能因为基区自偏压效应而导致发射极间的电位不等。为克服基区自偏压效应,则需在加上均压图形。

虽然从理论上讲,突破这些限制条件的图形也可以受到著作权的保护,但由于布图设计的价值仅仅体现在工业生产中,所以对那些完全没有实用价值的、由设计人自由挥洒出来的所谓“布图设计”实施保护是没有任何意义的。这些图形不是真正意义上的布图设计,称其为一种“抽象作品”或许更为恰当。布图设计在表现形式的有限性方面,与工业产权客体相似。

三、布图设计权的特性

从上面的分析可知,集成电路布图设计有其自身的特征,并同时兼备著作权客体和工业产权客体的特性。在立法保护布图设计、规定创作人的布图设计权时,应当考虑这一特点。

首先,布图设计权应具备知识产权的共同特性,即专有性;时间性和地域性。布图设计具有无形性,同一布图设计可能同时为多数人占有或使用。为保障布图设计创作人的利益,布图设计权应当是一项专有权利。另一方面,布图设计的价值毕竟是通过其工业应用才得以实现。仅就一特定的布图设计而言,使用它的人越多,为社会创造的价值就越大。如果布图设计权在时间上是无限的,则不利于充分发挥其对社会的作用,也不利于集成电路技术的发展。所以布图设计权应有一定时间期限。当然,对时间期限的具体规定应当既考虑公共利益,又照顾到创作人的个人权益。只有找到二者的平衡点,才是利益分配的最佳状态。地域性作为知识产权的共性之一,同样为布图设计权所具备,在世界知识产权组织的《关于集成电路的知识产权条约》第三条;第四条和第五条的内容都涉地域问题,这实际上肯定了布图设计权的地域性。

其次,布图设计权还具有其独特的个性。下面将其分别与著作权和工业产权相对照,从而分析其特点。

1.布图设计权的产生方式与著作权不同,只有在履行一定的法律程序后才能产生。集成电路作为一种工业产品,一旦投放市场将被应用于各个领域,性能优良的集成电路可能会因其商业价值引来一些不法厂商的仿冒。另一方面,由于集成电路布图设计受到诸多因素的限  制,其表现形式是有限的,这就可能存在不同人完全独立地设计出具有相同实质性特点的布图设计的情况。这就是说,布图设计具有一定的客观自然属性,其人身性远不及普通著作权客体那样强。所以法律在规定布图设计权的产生时,必须对权利产生方式作出专门规定,否则便无法确认布图设计在原创人和仿冒人之间,以及不同的独立原创人之间的权利归属。

2.布图设计权中的复制权,与著作权中的复制权相比,受到更多的限制。翻开各国集成电路技术的发展史,反向工程在技术的发展中有着不可取代的作用。如果照搬著作权法中关于复制权地规定,实施反向工程将被认为是侵权行为。为了电子工业和集成电路技术的发展,应当对复制权加以一定的限制,允许在一定条件下或合理范围内实施反向工程,美国《半导体芯片保护法》第906条第一款中规定,“仅为了教学、分析或评价掩模作品中的概念或技术,或掩模作品中所采用的电路、逻辑流和图及元件的布局而复制该掩模作品者”;或进行上述的“分析或评价,以便将这些工作的结果用于为销售而制造的具有原创性的掩模作品之中者”均不构成侵犯掩模作品专有权。与此相反,单纯地为复制布图设计而实施反向工程仍为侵权。反向工程是对复制权的一种限制。

3.与工业产权相比,布图设计权产生的实质性条件也有所不同。专利法中“创造性”条件要求申请专利的技术方案具备“实质性特点”,而大多数集成电路达不到这一要求。比如,在设计专用集成电路时,常将一些已为人所熟知的单元电路加以组合,这种拼揍而成的集成电路大多难以满足专利法的创造性要求,这使得大量集成电路得不到专利法的保护,这正是传统专利制度与集成电路这一新型客体之间不协调的一面。所以集成电路保护法在创造性方面的要求不应象专利法要要求那么严,但也不能象著作权法完全不要求任何创造高度要求,因为布图设计的价值毕竟体现在工业应用上。

集成电路设计规则篇5

关键词:电磁场仿真分析;电磁兼容性(emC);Zelandie3d软件

中图分类号:tm154文献标识码:a

在设计电子产品时,除了满足特定功能要求外,还必须考虑产品的电磁兼容性,这对产品的质量和性能技术指标起着非常关键的作用。电磁兼容性是电子设备或系统的主要性能之一,电磁兼容设计是实现设备或系统规定的功能、使系统效能得以充分发挥的重要保证。因此,在印制电路板的电路设计阶段就进行电磁兼容性设计是非常重要的。

1方案论证

研究pCB电路板的电磁场分布情况,对改进产品的emC性能具有十分重要的意义。在算法分析,方案论证阶段,经过多方调研,发现对于pCB板级的电磁场仿真的方法有多种,例如:矩量法,有限时域差分算法等。还搜集了一系列相关软件如:Zelandie3d、microwaveoffice、ansoftdesigner等,下面详细对各个软件的方法、原理和优缺点进行介绍:

(1)Zelandie3d:Zeland软件公司开发的软件中,ie3D是一个基于矩量法的电磁场仿真工具,其仿真结果包括S、Y、Z参数,电流分布,近场分布和辐射方向图,远场分布等,应用范围主要是在微波射频电路、多层印刷电路板、平面微带天线设计的分析与设计。

(2)apsimFDtD:apsimFDtD是一个采用"有限时域差分"算法的三维全波电磁场仿真器。它将二次、三次场等都准确仿真出来,比静态的二维、三维tem方法大大地提高了精度。

(3)microwaveoffice:microwaveoffice是一针对微波混合、模块以及mmiC(单片式微波/毫米波集成电路)设计的线性与非线性之完整解决方案,注重于参数的仿真。

(4)ansoftdesigner:ansoft公司的仿真工具能够从三维场求解的角度出发,对pCB设计的信号完整性问题进行动态仿真,但其远场的分布效果不是很理想,没有具体的仿真分贝标志。

通过具体使用和详细分析后,ie3D可以解决多层介质环境下的三维金属结构的电流分布问题。它利用积分的方式求解maxwell方程组,从而解决电磁波的效应、不连续性效应、耦合效应和辐射效应问题。ie3D电磁仿真的一个优点是用户可获得被仿真结构的场和电流分布,对电路和天线设计者来说,结构的电流和场分布很有价值,可选择为电流分布建立数据文件。仿真结果还包括s-、y-、z-参数和辐射方向图,仿真分贝标志等。所以仿真后的结果更加直观,并且易于理解。

因此,本论文采用"平面和三维电磁场仿真与优化软件包——ie3D"来对emC规则进行分析、验证。

2pCB的emC规则分析

本文就针对双面印制板面上信号线的走线布局、长度、电源线的布置等与电磁兼容性相关问题进行具体地仿真分析,并相应地给出具体措施。

2.1控制emC应采用的具体方法

(1)防止信号线在不同层间形成自环。在多层板设计中容易发生此类问题,自环将引起辐射干扰。对其进行电磁场仿真,结果如下:

结论:图1(b)的布线方式是符合emC问题的,信号线不可形成自环。

(2)走线长度控制规则即短线规则,在设计时应该尽量让布线长度尽量短,以减少走线长度带来的干扰问题,特别是一些重要信号线,如时钟线,务必将其振荡器放在离器件很近的地方。

对其进行电磁场仿真,结果如下:

(a)图磁场分布不均匀(b)图磁场分布均匀

图2短线原则的电磁场分布图

结论:设计时应该尽量让布线长度尽量短。

2.2电源线设计

要注意以下几点:

(1)根据印制线路板电流的大小,尽量加粗电源线宽度,减少环路电阻。同时使电源线、地线的走向和数据传递的方向一致,这样有助于增强抗噪声能力,如图3(a)所示。

(2)值得一提的是,尽量选用电源引脚与地引脚靠得较近的集成块,如图3(b)、(c)。尽量不使用芯片座,选用贴片集成块,可以进一步减小去耦电容的供电回路面积,有利于实现电磁兼容。

结语

在此,希望本设计能给予正在从事产品emC设计可靠性的工程师、对emC问题感兴趣的朋友们提供解决此类问题的新思路与新方法。

参考文献

[1]钱振宇.电磁兼容性的标准体系.电子产品世界,1999,02.

[2]ZelandSoftware,inc.ie3D用户手册.号9.2,2002.

集成电路设计规则篇6

关键词:集成电路布图设计;知识;保护

前言:

当今世界,随着科学技术的迅速发展,电子科技迎来了蓬勃的发展机遇,在短短的几十年时间内,电子行业发展到了一个前所未有的高度。尤其是计算机行业,更是电子行业中的领导者。但是,在这些电子行业中,最离不开的,便是集成电路系统,即集成电路系统行业的发展影响着电子行业的发展。由于集成电路产业的迅速发展,在其知识产权保护方面存在的问题也逐渐的暴露了出来。本文便着重于集成电路布局设计的知识及知识产权保护方面进行研究,从而为我国的集成电路事业的健康发展指出一条清晰明确的道路,顺应时展的潮流。

1集成电路布图设计概述

1.1集成电路布图设计的概念

集成电路系统的基础是半导体,即由半导体材料作为集成电路的基本元件,经由多个元件进行合并连接,共同置于由半导体组成的基片上,最终组装好的集成电路在电子器械或电子系统中控制电流,进而发挥其电子功能的部件。在计算机技术并不发达的初级阶段,由于材料学以及电子工程学的发展比较落后,使得计算机内部的电子元件是经由导线进行彼此之间的连接,这种搭设方式不但增加了电流流动的时间,减缓了信息传输的速度,还极大的增加了计算机内部的集成电路所占用的空间,使得计算机的体积极大,且信息处理缓慢,功能缺乏。但随着时代的发展,材料科学的不断进步,人们找到了良好的电子材料进行集成电路的搭建,因此,在集成电路的布局设计上能否取得进步便成为了计算机事业能否发展的关键所在。所谓的计算机部件设计,是经由软件或者图纸进行电路布局的3D模型规划,其就与土木工程中的建筑设计图纸相似,能够为产品的制造进行技术支持与步骤提供。可以说,集成电路布局设计在集成电路发展事业中所占的位置是最重要的,且在资金的投入上也是最高的。通常需要巨大的资金投入与人才投入才能设计出合理的集成电路布局。

1.2集成电路布图设计的基本特征

集成电路布局设计的基本特征可以大体分为三个方面,依次为无形性,复制性以及表现形式的非任意性。在无形性上,由于计算机中的集成电路布局是由专业技术人员进行的智慧创造,仅仅能记录在图纸上以及电子储存设备中。可以说,这种思维创作的智慧结晶仅能通过有限的载体进行反映,进而被人了解知晓。这些都是集成电路布局设计的无形性的体现。在复制性上的体现更为明显,当集成电路的布局设计储存在电子储存设备当中时,通过计算机中的软件便可进行信息的复制,从而使得集成电路的布局设计被复制为多份。当不具备集成电路的布局规划信息与图纸时,想要了解某一电子设备中的集成电路布局状况,可以对该电子设备进行拆分处理,将内部的集成电路暴露出来,通过照相仪器或扫描仪器进行内部布局信息采集,便可以采集到集成电路的布局信息。这种信息的采集可以极大的降低集成电路设计者的工作难度与工作量。在表现形式的非任意性上,集成电路在原材料的使用,元件的基本参数,工艺技术要求等等方面都有极其严格的要求。在技术规范与原则上也有一定的套路,因此说,在集成电路的表现形式上,其具有非任意性。

1.3以电磁炉为例的集成电路

此处以电磁炉的集成电路为例进行简单分析。Sm16312集成电路主要控制电磁炉中的显示屏部分。通过中央处理器的控制将电信号转化为数据信号,进行编码转化显示在显示屏当中。且当电磁炉的集成电路出现问题进行更换时,需要注意的问题更多,首先便要保证维修环境的整洁,防止环境中污染物的影响使得电磁炉的显示屏部位出现问题。由集成电路控制的显示屏灯管比较脆弱,电路维修时操作手段的不当会使得灯管破碎或传输导线的断裂。进行导线焊接时,时间不可过长,否则容易导致电路控制的显示屏部位完全损坏。

2集成电路布图设计的知识保护

2.1对集成电路布图设计进行保护的意义

之所以对于集成电路布局设计进行保护,是因为布图设计是脑力劳动者脑力创作的成果与智慧的结晶。集成电路布图属于电子产业中专业要求较高的行业,如果不具备高端的专业知识与专业素养就无法进行集成电路的布图设计。在设计者进行布图设计的过程中,设计人员要对电路中的各个元件有详细而充分的了解,在进行布图设计时,既要考虑到固有的一些设计规定与功能布局,还要充分发挥设计者的创造力,只有将这两点进行有机的结合,才能够创造出优秀的集成电路布图。由于电路布图的这种设计是一种无形的资产,只能通过有形的载体进行信息承载才能够被人们了解。所以要对这种无形的设计进行产权保护,才能够在最大程度上保证布图设计者的权益不受到侵害。在创造性与实用性上,由于集成电路的布图需要脑力的劳动,一旦创造出独特的且信息处理迅速的电路布图设计则会产生巨大的经济效益,且有可能会对电子行业的进步与革新产生较大的影响,因此需要进行知识产权保护。

2.2集成电路布图设计保护模式选择

对集成电路布图设计进行保护,就需要依靠法律的力量。国家制定了相应的《关于保护集成电路知识产权条约》。其中对于集成电路的保护就有明确的规定,既要求布局设计自身是由设计者自身进行独立的思维创造或与其他人共同合作进行创造进而得到的成果。对于那些根据别人的集成电路布局设计进行模仿或复制的布局设计,不但不对其进行法律保护,还要追究其法律责任。由于集成电路布图设计涉及到原创性,创造性与新颖性这三个方面,因此,知识产权在对其进行保护时,既要保护到成果作品自身,还要对其中蕴含的创新点与思维创造部分进行保护,这有这样,才能对与集成电路布图设计进行充分的保护,进而保护设计者的智力成果与财产安全。

2.3集成电路布图设计专有权设计

对于集成电路布图设计的专有权进行保护,需要对主体,客体以及内容这三方面进行保护。在主体保护方面,涉及到布图设计的设计者,这既包括设计者自身与在思维创造过程中一同参与的合作者,还包括布图设计的相关法人与组织,另外,相关的可以享受该成果的权利委托人也是保护主体之一。而保护的客体,指的则是设计者创造出的具备思维创造性的布图设计。对于集成电路布图设计的内容保护既是对于设计专有权的具体权能进行保护。具体包括有复制权,商业利用权。

3结语

当今世界,随着科学技术的迅速发展,电子科技迎来了蓬勃的发展机遇,在短短的几十年时间内,电子行业发展到了一个前所未有的高度。集成电路是以半导体材料为基础的,由多个元件进行线路连接,设置在基片之上,以达到一定功能的电子产品。本文通过对集成电路布图设计进行概述,并对集成电路布图设计的知识保护进行分析,从而促进我国的集成电路事业的发展,使我国的电子产业赶上时代潮流。

参考文献

[1]蒋黎.集成电路布图设计法律保护研究[D].吉林大学,2013.

集成电路设计规则篇7

关键词:火力发电厂;规划设计;交通组织;竖向设计;景观设计

中图分类号:tm621文献标识码:a文章编号:

1前言

火力发电厂的厂区规划是根据电厂的生产、施工和生活需要,结合场地自然条件,进行的规划设计,主要包括厂区总平面布置、竖向布置、交通运输、管线综合布置及绿化布置等。本文将针对以上几个方面展开详细阐述。

2厂区规划

2.1厂区总平面布置规划

(1)总平面布置是电厂规划中一个重要组成部分,应按规划容量和本期建设规模,统一规划、分期建设。总规划中应从实际情况出发,因地制宜进行设计,要特别注意每个工程的具体特点,深入现场、调查研究,收集必要的基础资料。

例如特变电工新疆硅业有限公司2×350mw工程,厂区用地已取得建设用地红线图,为直角梯形状场地。北部最宽处704.5m,南部最宽处167m,西侧边线长约645m,东侧边线长约873m,建设用地范围面积约30hm2。厂区总平面结合地形、周边环境、工程特点,紧凑布置,节约用地。建、构筑物尽量采用联合、合并、成组、毗连等布置手法,减少厂区辅助及非生产建筑物项目,压缩本期占地,提高场地利用率,尽可能为电厂二期的发展创造有利条件。如下图:

(2)发电厂有较多的建、构筑物和各种设施,总平面布置时应以主厂房为中心,合理的划分功能分区。火力发电厂厂区一般划分为主厂房区、配电装置区、煤场区、化水区、水处理区、厂前区等。建、构筑物的平面和空间组合应区分明确、生产方便、造型协调、整体性好。各分区内部及区与区之间,建筑线要力求整齐,建筑物的宽度、长度要避免参差不齐。

2.2电厂厂区竖向设计

(1)厂区竖向设计根据场地地形、坡度、坡向、主要建(构)筑物持力层埋深、洪涝水位、场地排水、土石方工程量、交通运输及工艺和施工要求等综合考虑。一般应先求出场地自然地形纵、横平均坡度大小,以确定竖向布置形式,是平坡式布置还是台阶式布置。

厂区竖向设计,一般采用较严密的等高线法来表示。设计等高线走径及标高,在满足工艺、防排水等要求的前提下,应与自然等高线尽量吻合,以节约土石方工程量。

厂区设计标高,一般应经过多次土石方计算并反复推敲而确定。例如中国铝业新疆能源一体化项目,区域平坦开阔,地形由西北向东南倾斜,地面自然高程654~675m(1985国家高程基准),坡降约3%,竖向设计方式确定采用台阶布置形式,厂区纵轴平行等高线布置。在综合土方工程、建筑工程、对外交通联系、场地景观等特点后确定平整高程,具体标高优化时,按0.1~0.3m高度进行比较优化,优化比较如下:

基准方案

(2)电厂厂区竖向设计时需要考虑厂区的场地排水,场地最小坡度及坡向以能较快排除地面水为原则。新疆地区年降水量较少,年平均蒸发量较大,场地排水一般采用场地道路系统,场地雨水汇集至道路然后排出厂外。只有在内涝区或地质条件不利地区采用厂内雨水井收集,比如湿陷性黄土地区。

(3)厂内建、构筑物0m设计标高,宜高出室外标高0.15-0.3m左右(如建、构筑物跨几根等高线,则按最不利点高差确定设计标高)。一般建(构)筑物室内、外设计最大高差应控制在0.6m左右,大型建(构)筑物室内、外设计最大高差应控制在1m左右。散水设计标高应与场地设计标高及坡度相协调,并在图中标明。高差偏大时散水下采用短墙应加设台阶,炉后地坪应与散水设计标高统一考虑,否则将与地面难以连接。场地地面最终设计标高,是以道路路缘与散水或台阶下连接线来控制。

2.3道路与交通组织

(1)厂区道路一般分为主干道、次干道、支道、车间引道和人行道。主干道宽度一般6-9m,次干道宽度一般4.5-7m,支道、引道宽度3-4.5m,人行道宽度1-2m。厂区主干道一般采用城市型道路,多为钢筋混凝土路面。

(2)厂区道路规划时应货流和人流兼顾,合理分散货流、人流,使货流畅通、人行方便、交通安全。厂区道路至少要有两个不同方向的出入口与厂外道路系统连接,通常以厂区固定端进厂前区的出入口为主出入口即人流出入口,以进入煤场区的出入口为货运出入口,作为运煤、拉灰等的入口。

(3)厂区道路应符合消防要求,尽可能使运输道路与消防道路相结合,使消防车辆能迅速到达厂内各建构筑物及场地。在主厂房、配电装置、贮煤场、油罐区、储氨区和制氢站周围应设环形通道或消防通道。

2.4综合管线规划

(1)电厂厂区管线繁多,纵横交叉,尤其是主厂房周围的管线最为密集,一般厂区管线都是围绕主厂房的三侧布置:即汽机房a列柱外侧、锅炉炉后及主厂房固定端。在主厂房扩建端不宜布置永久性的管线,以避免影响工程的扩建和本期工程的施工。

(2)厂区管线敷设有两大类:一类为地上敷设,一类为地下敷设。地上管线大都是易爆、易燃或检修维护要求较多的,且管径要求比较大。包括供热管网、供油管、酸碱管、电缆等。地上管线多采用综合管架的形式,占地小,土方工程量小,容易解决竖向上各种管线交叉问题。地下敷设又分直埋、沟道等。地下管线在满足防护要求的前提下,应尽量浅埋,做好防排水;跨道路及交叉处可采用压缩断面或下弯降低沟底标高的方式。

(3)厂区管线布置走径应紧凑合理短捷,少交叉,在满足防护及检修要求的前提下,同类或性质相近的管线尽量沿路网并靠近接口较多的一侧集中布置,以节约用地,方便施工和检修。当管线布置中发生矛盾时,应按以小让大、柔让刚、有压让无压、工程量小的让工程量大的原则进行处理,并应将检修频繁的管线,尽量靠上层布置。

2.5厂区绿化景观设计

(1)绿化设计是为了美化厂容、净化空气,改善卫生条件,为职工创造良好的生产和生活环境,利于电厂的文明生产和安全运行,因此厂前区进厂主干道为重点绿化区,可在绿化中加强美化,选择观赏性强;树姿优美的常绿树种和四季花卉、草皮等,并以绿篱分隔道路,做到四季长青三季花,砂土不露天。

(2)生产区各个功能小区,则按其生产特点的不同要求布置绿化。如:汽机房外侧、屋外配电装置附近,需满足带电安全防护距离的要求,不能种植高大乔木,宜选择低矮、根系浅的灌木及花草。主厂房周围由于地下管线密集,其绿化主要为草坪,道路边种植绿篱。靠近贮煤场、灰库区的地带宜种植耐粉尘的乔木、灌木,组成防尘绿化带。

(3)对于建筑物之间及地下走廊不宜种植乔木的地段,则种植灌木或草皮,尽量减少地面,扩大绿化覆盖面积。结合草地,灌木和硬质铺地等,形成一种模数化的网格机理,统一而富于美感。

6结语

发电厂厂区规划时,必须在工业生态学理论的指导下,追求产业和环境的生态化;并应用现代高新技术和新型材料,在厂区规划、建构筑物造型、区域绿化与景观、污染检测与控制等方面进行分析,在空间上舒展、完整。在环境上优美、舒适,有效地展示电力企业的文化,体现电厂群体、环境和人的协调融洽关系,这都应该成为设计者追求的理想。

集成电路设计规则篇8

1、集成电路产业是信息产业的核心,是国家基础战略性产业。

集成电路(iC)是集多种高技术于一体的高科技产品,是所有整机设备的心脏。随着技术的发展,集成电路正在发展成为集成系统(SoC),而集成系统本身就是一部高技术的整机,它几乎存在于所有工业部门,是衡量一个国家装备水平和竞争实力的重要标志。

2、集成电路产业是技术资金密集、技术进步快和投资风险高的产业。

80年代建一条6英寸的生产线投资约2亿美元,90年代一条8英寸的生产线投资需10亿美元,现在建一条12英寸的生产线要20亿-30亿美元,有人估计到2010年建一条18英寸的生产线,需要上百亿美元的投资。

集成电路产业的技术进步日新月异,从70年代以来,它一直遵循着摩尔定律:芯片集成元件数每18个月增加一倍。即每18个月芯片集成度大体增长一倍。这种把技术指标及其到达时限准确地摆在竞争者面前的规律,为企业提出了一个“永难喘息”,否则就“永远停息”的竞争法则。

据世界半导体贸易统计组织(wStS)**年春季公布的最新数据,**年世界半导体市场销售额为1664亿美元,比上年增长18.3%。其中,集成电路的销售额为1400亿美元,比上年增长16.1%。

3、集成电路产业专业化分工的形成。

90年代,随着因特网的兴起,iC产业跨入以竞争为导向的高级阶段,国际竞争由原来的资源竞争、价格竞争转向人才知识竞争、密集资本竞争。人们认识到,越来越庞大的集成电路产业体系并不有利于整个iC产业发展,分才能精,整合才成优势。

由于生产效率低,成本高,现在世界上的全能型的集成电路企业已经越来越少。“垂直分工”的方式产品开发能力强、客户服务效率高、生产设备利用率高,整体生产成本低,因此是集成电路产业发展的方向。

目前,全世界70%的集成电路是由数万家集成电路设计企业开发和设计的,由近十家芯片集团企业生产芯片,又由数十家的封装测试企业对电路进行封装和测试。即使是英特尔、超微半导体等全能型大企业,他们自己开发和设计的电路也有超过50%是由芯片企业和封装测试企业进行加工生产的。

iC产业结构向高度专业化转化已成为一种趋势,开始形成了设计业、制造业、封装业、测试业独立成行的局面。

二、苏州工业园区的集成电路产业发展现状

根据国家和江苏省的集成电路产业布局规划,苏州市明确将苏州工业园区作为发展集成电路产业的重点基地,通过积极引进、培育一批在国际上具有一定品牌和市场占有率的集成电路企业,使园区尽快成为全省、乃至全国的集成电路产业最重要基地之一。

工业园区管委会着眼于整个高端iC产业链的引进,形成了以“孵化服务设计研发晶圆制造封装测试”为核心,iC设备、原料及服务产业为支撑,由数十家世界知名企业组成的完整的iC产业“垂直分工”链。

目前整个苏州工业园区范围内已经积聚了大批集成电路企业。有集成电路设计企业21户;集成电路芯片制造企业1家,投资总额约10亿美元;封装测试企业11家,投资总额约30亿美元。制造与封装测试企业中,投资总额超过80亿元的企业3家。上述33家集成电路企业中,已开业或投产(包括部分开业或投产)21家。**年,经过中国半导体行业协会集成电路分会的审查,第一批有8户企业通过集成电路生产企业的认定,14项产品通过集成电路生产产品的认定。**年,第二批有1户企业通过集成电路生产企业的认定,102项产品通过集成电路生产产品的认定。21户设计企业中,有3户企业通过中国集成电路行业协会的集成电路设计企业认定(备案)。

1、集成电路设计服务企业。

如中科集成电路。作为政府设立的非营利性集成电路服务机构,为集成电路设计企业提供全方位的信息服务,包括融资沟通、人才培养、行业咨询、先进的设计制造技术、软件平台、流片测试等。力争扮演好园区的集成电路设计“孵化器”的角色。

2、集成电路设计企业。

如世宏科技、瑞晟微电子、忆晶科技、扬智电子、咏传科技、金科集成电路、凌晖科技、代维康科技、三星半导体(中国)研究开发中心等。

3、集成电路芯片制造企业。

和舰科技。已于**年5月正式投产8英寸晶圆,至**年3月第一条生产线月产能已达1.6万片。第二条8英寸生产线已与**年底开始动工,**年第三季度开始装机,预计将于2005年初开始投片。到今年年底,和舰科技总月产能预计提升到3.2万片。和舰目前已成功导入0.25-0.18微米工艺技术。近期和舰将进一步引进0.15-0.13微米及纳米技术,研发更先进高阶晶圆工艺制造技术。

4、集成电路封装测试企业。

如三星半导体、飞索半导体、瑞萨半导体,矽品科技(纯代工)、京隆科技(纯代工)、快捷半导体、美商国家半导体、英飞凌科技等等。

该类企业目前是园区集成电路产业的主体。通过多年的努力,园区以其优越的基础设施和逐步形成的良好的产业环境,吸引了10多家集成电路封装测试企业。以投资规模、技术水平和销售收入来说,园区的封装测测试业均在国内处于龙头地位,**年整体销售收入占国内相同产业销售收入的近16%,行业地位突出。

园区封装测试企业的主要特点:

①普遍采用国际主流的封装测试工艺,技术层次处于国内领先地位。

②投资额普遍较大:英飞凌科技、飞利浦半导体投资总额均在10亿美元以上。快捷半导体、飞索半导体、瑞萨半导体均在原先投资额的基础进行了大幅增资。

③均成为所属集团后道制程重要的生产基地。英飞凌科技计划产能要达到每年8亿块记忆体(DRam等)以上,是英飞凌存储事业部最主要的封装测试基地;飞索半导体是amD和富士通将闪存业务强强结合成立的全球最大的闪存公司在园区设立的全资子公司,园区工厂是其最主要的闪存生产基地之一。

5、配套支持企业

①集成电路生产设备方面。有东和半导体设备、爱得万测试、库力索法、爱发科真空设备等企业。

②材料/特殊气体方面。有英国氧气公司、比欧西联华、德国梅塞尔、南大光电等气体公司。有住友电木等封装材料生产企业。克莱恩等光刻胶生产企业。

③洁净房和净化设备生产和维护方面。有久大、亚翔、天华超净、miCRoFoRm、专业电镀(teCHniC)、超净化工作服清洗(雅洁)等等。

**年上半年,园区集成电路企业(全部)的经营情况如下(由园区经发局提供略):

根据市场研究公司iSuppli今年初的**年全球前二十名半导体厂家资料,目前,其中已有七家在园区设厂。分别为三星电子、瑞萨科技、英飞凌科技、飞利浦半导体、松下电器、amD、富士通。

三、集成电路产业涉及的主要税收政策

1、财税字[2002]70号《关于进一步鼓励软件产业的集成电路产业发展税收政策的通知》明确,自2002年1月1日起至2010年底,对增值税一般纳税人销售其自产的集成电路产品(含单晶硅片),按17%的税率征收增值税后,对其增值税实际税负超过3%的部分实行即征即退政策。

2、财税字[**]25号《关于鼓励软件产业的集成电路产业发展有关税收政策问题的通知》明确,“对我国境内新办软件生产企业经认定后,自开始获利年度起,第一年和第二年免征企业所得税,第三年至第五年减半征收企业所得税”;“集成电路设计企业视同软件企业,享受软件企业的有关税收政策”。

3、苏国税发[**]241号《关于明确软件和集成电路产品有关增值税问题的通知》明确,“凡申请享受集成电路产品税收优惠政策的,在国家没有出台相应认定管理办法之前,暂由省辖市国税局商同级信息产业主管部门认定,认定时可以委托相关专业机构进行技术评审和鉴定”。

4、信部联产[**]86号《集成电路设计企业及产品认定管理办法》明确,“集成电路设计企业和产品的认定,由企业向其所在地主管税务机关提出申请,主管税务机关审核后,逐级上报国家税务总局。由国家税务总局和信息产业部共同委托认定机构进行认定”。

5、苏国税发[**]241号《关于明确软件和集成电路产品有关增值税问题的通知》明确,“对纳税人受托加工、封装集成电路产品,应视为提供增值税应税劳务,不享受增值税即征即退政策”。

6、财税[1994]51号《关于外商投资企业和外国企业所得税法实施细则第七十二条有关项目解释的通知》规定,“细则第七十二条第九项规定的直接为生产服务的科枝开发、地质普查、产业信息咨询业务是指:开发的科技成果能够直接构成产品的制造技术或直接构成产品生产流程的管理技术,……,以及为这些技术或开发利用资源提供的信息咨询、计算机软件开发,不包括……属于上述限定的技术或开发利用资源以外的计算机软件开发。”

四、当前税收政策执行中存在的问题

1、集成电路设计产品的认定工作,还没有实质性地开展起来。

集成电路设计企业负责产品的开发和电路设计,直接面对集成电路用户;集成电路芯片制造企业为集成电路设计企业将其开发和设计出来的电路加工成芯片;集成电路封装企业对电路芯片进行封装加工;集成电路测试企业为集成电路进行功能测试和检验,将合格的产品交给集成电路设计企业,由设计企业向集成电路用户提供。在这个过程中,集成电路产品的知识产权和品牌的所有者是集成电路设计企业。

因为各种电路产品的功能不同,生产工艺和技术指标的控制也不同,因此无论在芯片生产或封装测试过程中,集成电路设计企业的工程技术人员要提出技术方案和主要工艺线路,并始终参与到各个生产环节中。因此,集成电路设计企业在集成电路生产的“垂直分工”体系中起到了主导的作用。处于整个生产环节的最上游,是龙头。

虽说iC设计企业远不如制造封装企业那么投资巨大,但用于软硬件、人才培养的投入也是动则上千万。如世宏科技目前已积聚了超过百位的来自高校的毕业生和工作经验在丰富的技术管理人才。同时还从美国硅谷网罗了将近20位累计有200年以上iC产品设计经验、拥有先进技术的海归派人士。在人力资源上的投入达450万元∕季度,软硬件上的投入达**多万元。中科集成电路的eDa设计平台一次性就投入2500万元。

园区目前共有三户企业被国家认定为集成电路设计企业。但至关重要的集成电路设计产品的认定一家也未获得。由于集成电路设计企业的主要成本是人力成本、技术成本(技术转让费),基本都无法抵扣。同时,研发投入大、成品风险高、产出后的计税增值部分也高,因此如果相关的增值税优惠政策不能享受,将不利于企业的发展。

所以目前,该类企业的研发主体大都还在国外或台湾,园区的子公司大多数还未进入独立产品的研发阶段。同时,一些真正想独立产品研发的企业都处于观望状态或转而从事提供设计服务,如承接国外总公司的设计分包业务等。并且由于享受优惠政策前景不明,这些境外iC设计公司往往把设在园区的公司设计成集团内部成本中心,即把一部分环节研发转移至园区,而最终产品包括晶圆代工、封装测试和销售仍在境外完成。一些设计公司目前纯粹属于国外总公司在国内的售后服务机构,设立公司主要是为了对国外总公司的产品进行分析,检测、安装等,以利于节省费用或为将来的进入作准备。与原想象的集成电路设计企业的龙头地位不符。因此,有关支持政策的不能落实将严重影响苏州工业园区成为我国集成电路设计产业的重要基地的目标。

2、集成电路设计企业能否作为生产性外商投资企业享受所得税优惠未予明确。

目前,园区共有集成电路设计企业23家,但均为外商投资企业,与境外母公司联系紧密。基本属于集团内部成本中心,离产品研发的本地化上还有一段距离。但个别公司已在本地化方面实现突破,愿承担高额的增值税税负并取得了一定的利润。能否据此确认为生产性外商投资企业享受“二免三减半”等所得税优惠政策,目前税务部门还未给出一个肯定的答复。

关键是所得税法第七十二条“生产性外商投资企业是指…直接为生产服务的科技开发、地质普查、产业信息咨询和生产设备、精密仪器维修服务业”的表述较为含糊。同时,财税[1994]51号对此的解释也使税务机关难以把握。

由于集成电路设计业是集成电路产业链中风险最大,同时也是利润最大的一块。如果该部分的所得税问题未解决,很难想象外国公司会支持国内设计子公司的独立产品研发,会支持国内子公司的本土化进程。因此,生产性企业的认定问题在一定程度上阻碍了集成电路设计企业的发展壮大。

3、目前的增值税政策不能适应集成电路的垂直分工的要求。

在垂直分工的模式下,集成电路从设计芯片制造封装测试是由不同的公司完成的,每个公司只承担其中的一个环节。按照国际通行的半导体产业链流程,设计公司是整条半导体生产线的龙头,受客户委托,设计有自主品牌的芯片产品,然后下单给制造封装厂,并帮助解决生产中遇到的问题。国际一般做法是:设计公司接受客户的货款,并向制造封装测试厂支付加工费。各个制造公司相互之间的生产关系是加工关系而非贸易关系。在财务上只负责本环节所需的材料采购和生产,并不包括上环节的价值。在税收上,省局明确该类收入目前不认可为自产集成电路产品的销售收入,因此企业无法享受国家税收的优惠政策。

而在我国现行的税收体制下,如果整个生产环节都在境内完成,则每一个加工环节都要征收17%的增值税,只有在最后一个环节完成后,发起方销售时才会退还其超过3%的部分,具体体现在增值税优惠方面,只有该环节能享受优惠。因此,产业链各环节因为享受税收政策的不同而被迫各自依具体情况采取不同的经营方式,因而导致相互合作困难,切断了形式上的完整产业链。

国家有关文件的增值税政策的实质是侧重于全能型集成电路企业,而没有充分考虑到目前集成电路产业的垂直分工的格局。或虽然考虑到该问题但出于担心税收征管的困难而采取了一刀切的方式。

4、出口退税率的调整对集成电路产业的影响巨大。

今年开始,集成电路芯片的出口退税税率由原来的17%降低到了13%,这对于国内的集成电路企业,尤其是出口企业造成了成本上升,严重影响了国内集成电路生产企业的出口竞争力。如和舰科技,**年1-7月,外销收入78322万元,由于出口退税率的调低而进项转出2870万元。三星电子为了降低成本,贸易方式从一般贸易、进料加工改为更低级别的来料加工。

集成电路产业作为国家支持和鼓励发展的基础性战略产业,在本次出口退税机制调整中承受了巨大的压力。而科技含量与集成电路相比是划时代差异的印刷线路板的退税率却保持17%不变,这不符合国家促进科技进步的产业导向。

五、关于促进集成电路产业进一步发展的税收建议

1、在流转税方面。

(1)集成电路产业链的各个生产环节都能享受增值税税收优惠。

社会在发展,专业化分工成为必然。从鼓励整个集成电路行业发展的前提出发,有必要对集成电路产业链内的以加工方式经营的企业也给予同样的税收优惠。

(2)集成电路行业试行消费型增值税。

由于我国的集成电路行业起步低,目前基本上全部的集成电路专用设备都需进口,同时,根据已有的海关优惠政策,基本属于免税进口。调查得知,园区集成电路企业**年度购入固定资产39亿,其中免税购入的固定资产为36亿。因此,对集成电路行业试行消费型增值税,财政压力不大。同时,既体现了国家对集成电路行业的鼓励,又可进一步促进集成电路行业在扩大再生产的过程中更多的采购国产设备,拉动集成电路设备生产业的发展。

2、在所得税方面。

(1)对集成电路设计企业认定为生产性企业。

根据总局文件的定义,“集成电路设计是将系统、逻辑与性能的设计要求转化为具体的物理版图的过程”。同时,集成电路设计的产品均为不同类型的芯片产品或控制电路。都属中间产品,最终的用途都是工业制成品。因此,建议对集成电路设计企业,包括未经认定但实际从事集成电路设计的企业,均可适用外资所得税法实施细则第七十二条之直接为生产服务的科技开发、地质普查、产业信息咨询和生产设备、精密仪器维修服务业属生产性外商投资企业的规定。

(2)加大间接优惠力度,允许提取风险准备金。

计提风险准备金是间接优惠的一种主要手段,它虽然在一定时期内减少了税收收入,但政府保留了今后对企业所得的征收权力。对企业来说它延迟了应纳税款的时间,保证了研发资金的投入,增强了企业抵御市场风险的能力。

集成电路行业是周期性波动非常明显的行业,充满市场风险。虽然目前的政策体现了加速折旧等部分间接优惠内容,但可能考虑到征管风险而未在最符合实际、支持力度最直接的提取风险准备金方面有所突破。

3、提高集成电路产品的出口退税率。

鉴于发展集成电路行业的重要性,建议争取集成电路芯片的出口退税率恢复到17%,以优化国内集成电路企业的投资和成长环境。

4、关于认定工作。

(1)尽快进行集成电路设计产品认定。

目前的集成电路优惠实际上侧重于对结果的优惠,而对设计创新等过程(实际上)并不给予优惠。科技进步在很大程度上取决于对创新研究的投入,而集成电路设计企业技术创新研究前期投入大、风险高,此过程最需要税收上的扶持。

鉴于集成电路设计企业将有越来越多产品推出,有权税务机关和相关部门应协调配合,尽快开展对具有自主知识产权的集成电路设计产品的认定工作。

(2)认定工作应由专业机构来完成,税务机关不予介入。

集成电路设计规则篇9

关键词转子一点接地;叠加交流;微机化;分布电容;复数计算

中图分类号:tm310文献标识码:a文章编号:1671-7597(2014)01-0036-01

常规的叠加交流电压式转子一点接地保护由于受转子励磁绕组对地分布电容的影响,

灵敏度低,易误动,随着保护的微机化已被淘汰。当前主要替代应用的转子一点接地保护是乒乓式转子一点接地保护、低频注入式转子一点接地保护和微机式叠加直流电压原理的转子一点接地保护。前者在发电机停机状态不能给发电机提供保护,且由于引出碳刷的原因易误动,增加了维护人员的工作量;低频注入式转子一点接地保护原理复杂、造价昂贵、维护困难,且不能完全消除对地分布电容的影响。微机式叠加直流电压原理的转子一点接地保护是对常规叠加直流电压原理的微机化应用,但该保护在发生一点接地的情况下,会导致励磁电压的波动。下面我们探讨如何将叠加交流电压式转子一点接地保护进行微机化设计。

1原理接线设计

常规的叠加交流电压式转子一点接地保护,接线简单,没有死区,整个励磁绕组任意一点接地的灵敏度相同,但其简单的将采集到的接地电流作为动作量,不可避免的受励磁回路对地分布电容的影响,应用在大中型机组上时,灵敏度很低。现随着继电保护的微机化,及此类保护新型微机原理的出现,已不再应用。为了将叠加交流电压式转子一点接地保护进行微机化,使其继承其原有的优点,克服其缺点,我们可以在设计上考虑同时采集注入的交流电压和电流矢量,现在我们参照该常规保护的原理接线,设计的接线图见图1。

图1叠加交流电压式转子一点接地保护原理接线图

图1中,左侧为转子一点接地测量装置。t1为注入变压器可设计变比为220/50,可采用UpS供电,保证发电机在停机和开机状态为保护提供持续稳定的注入电源。t2为微安级采集电流互感器可设计变比为1:10。R为限流注入电阻,电阻R的大小可依据机组的大小来选择,大机组的对地电容较大,仅励磁绕组对地即可达1~2uF,当注入电压为50Hz时,对地容抗仅有3.2~1.6kΩ,正常对地电流可达到31ma,对于旋转励磁的系统此电流可引起滑环接触碳刷的发热加速损耗,可选择适合的电阻R对此电流加以限制。C为隔直耦合电容,用于隔离直流励磁电压,仅其中的谐波成分和注入的基波可以通过,t1、t2对励磁电压中的谐波成分有一定的抑制作用,剩余的谐波成分进入装置后,可经软件数字滤波技术完全滤除。为转子励磁绕组对地分布电容,为转子励磁绕组对地的绝缘电阻。

如图1所示,50Hz交流220V电压经注入降压变压器t1,通过采样互感器t2的原边、电阻R、电容C注入到励磁绕组。装置将采集到的注入50Hz电压,注入电流送入CpU进行计算,当计算出的小于整定值时保护动作,如何计算出是设计的关键,下面推导的计算公式看是否可消除对地分布电容对其的影响。

2计算公式推导

我们可将原理回路中固有的元件参数输入到装置,参与接地电阻的计算。

设隔直耦合电容C的容抗为,则

设分布电容的容抗为,则

设为分布电容和绝缘电阻的并联阻抗,则

(1)

若将励磁绕组中的交流压降和交变感应电动势忽略不计,则注入电流为:

保护装置根据测量的,(n为电流互感器t2的变比),阻抗角和公式,可计算出回路阻抗,以复数的形式表示回路阻抗,回路阻抗Z减去回路固有的即得到励磁绕组对地阻抗,即(2),式(2)的复数可表达为(3),这里

(5)

(6)

接着我们推导出接地电阻的计算公式,首先将式(1)代入式(3)可得到

(7)

(8)

式(7)除以式(8)得(9),将式(5)、(6)带入式(9)得

(10)

从式(10)可看出通过测量的,计算出的绝缘电阻已完全消除了转子对地分布电容的影响,且与励磁电压的大小和接地位置无关。这个计算公式在微机保护通过软件很容易实现。

3结论

综上所述,设计的微机式叠加交流电压式转子一点接地保护通过借鉴常规叠加交流电压式转子一点接地的原理,同时采集了注入电压和注入电流的矢量,经过巧妙的复数计算,彻底的消除了励磁回路对地分布电容对保护的影响,该保护同时继承了常规保护的优点。成功的将叠加交流电压式转子一点接地保护进行微机化,将这一原理重新焕发了新的生命。

集成电路设计规则篇10

【关键词】电力系统规划设计电力工程设计电力电量平衡接入系统方案

电能作为国民经济各个领域的基础能源,在社会发展中起着举足轻重的作用。电力工业的先行建设,是保证经济发展的先决条件。作为电力工程前期工作的重要组成部分,合理的系统规划是电力系统安全、可靠、经济运行的前提,也是具体单项电力工程设计建设的方针和原则。

一、电力工程中所涉及系统规划设计的主要内容

系统规划设计相关工作可分为长期的电力系统发展规划、中期的电力系统发展设计。其对单项电力工程设计具有指导性的作用,也是论证工程建设必要性的重要依据。

在进行单项电力工程设计时,其涉及到的系统规划设计主要内容包括:一是工程所在区域的电力负荷预测和特性分析;二是近区电网电源规划情况及出力分析;三是根据负荷预测和电源规划结果,进行电力和电量平衡;四是提出电力工程接入电网系统方案;五是对所提方案进行电气计算;六是分析计算结果,并进行方案技术经济比较;七是为电力设计其它专业提供系统资料。

1.接入系统方案

根据工程所在地原有网络特点、负荷分布和电网发展规划等情况,说明项目工程在电力系统中的地位和作用,按照电网规划,以及政府部门的审批意见,提出项目接入系统比较方案。

在论述项目接入系统方案时,应远近结合、综合考虑节约用地、节能降耗、电网新技术的应用。与此同时,需提出项目工程各方案的布局和规模,投产年及终期近区的电网结构、运行方式和供电电压等内容。

2.电力电量平衡

电力电量平衡在电力系统规划设计中起约束条件的作用。根据电力负荷预测和电源出力分析,进行项目所在地区、供电区域进行电力、电量平衡计算,并对平衡结果进行分析,从而确定电力工程的布局和规模。

通过负荷预测确定各水平年的系统最大负荷,结合各类电源的出力分析,得出电力电量盈亏,从而确定电力系统所需的发电、变电设备容量。该容量应满足负荷需求的工作容量加上系统需要的备用容量。此外,在进行电力电量平衡时,还需考虑分区间的电力电量交换,并根据情况增减设备容量。

3.电源规划情况及出力

电源规划是电力系统规划设计的核心内容。对拟建工程周边电网的电源规划进行统计,并分析电源的出力情况,是论证单项电力工程建设必要性的重要依据。

电力电源分为统调电源和地方电源,其中统调电源是指归电网调度统一调度的各类大型发电厂;地方电源则包括各类小水电站,以及企业自备发电机组。每种电源在不同的水文期的出力各不相同,同时新建电源机组会出现在规划期间逐年投产的情况,因此,需对电源出力情况进行详细的分析统计,以利于下一步工作开展。

4.电力负荷预测和分析

对拟建电力工程附近片区进行电力负荷预测和分析,是电力系统规划设计的基础。在电力工程设计时,主要进行10年以内的中短期负荷预测。

中短期负荷预测,主要围绕国民经济的运行和发展而进行。在总结历年经济数据的基础之上,结合社会经济的发展规划,对中短期的近区最大负荷进行逐年预测;同时,根据已建、在建和规划的大项目情况,对负荷的特性进行必要的研究分析,并确定其对电网供电的影响。

负荷预测的方法多种多样,即有传统的序列预测法,也有模糊理论、专家系统等新方法。对具有重要意义的电力工程,如枢纽变电站、输送大量潮流的电力线路、或大容量发电机组,可采取多种方法预测负荷,分析负荷增长因素及其发展趋势,并从中选出一般可能出现的负荷水平进行分析。

5.电气计算

电气计算是电力系统规划设计的主要内容,包括:潮流计算,稳定计算,短路电流计算和无功补偿计算。

在确定网架结构和系统运行方式的情况下,进行短路电流计算可正确选择及校验电气设备,选用正确的继电保护整定值和熔体的额定电流,从而确保在故障情况下能快速切断短路电流,减少短路电流持续时间,减少短路所造成的损失。系统的短路电流宜限制在合理的水平,当短路电流水平过大而需要大量更换工程相关网区已有电气设备时,应提出限制短路电流的措施。

二、电力系统规划设计工作的一些经验

随着我国电网电压的升高,电网规模的不断扩大,电源装机总容量的逐年提升,电力系统的发展进入了新时期。在单项电力工程的设计中,电力系统专业的设计和论证起着重要的指导作用。如何独立开展电力系统规划设计工作,成为中小规模的电力设计单位遇到的新问题。

1.开展工作

关注电力系统的最新变化情况,更新数据库资料,收集和研究各地区的负荷情况和特点,掌握大网内各电厂、变电站、电力线路的地理分布情况和数据资料,为系统设计做好准备。针对新项目工程,展开对当地负荷情况的收集工作,及时更新当地及周边电力系统的资料。之后,进行各类系统电气计算,配合项目工程的设计工作。

电力网络基础数据对电力系统规划设计具有重要意义,所有电气计算均是基于电网数据的基础上进行的。因此,不断更新和完善基础数据,将是电力系统规划设计的一个长期工作。

2.准备阶段

在开展系统规划设计工作前,应收集近区电力系统现状相关资料,了解大网区的基本情况和特点,分析和整理收集到的系统资料。收集现有变电站、线路以及统调电源资料,并开列成表录入数据库,形成电网现状网架的基础数据。

与此同时,还需收集最新电力主网规划报告,了解近区电网的发展方向和变化特点,将规划电力网络资料录入数据库,形成各规划水平年的网架基础数据。